11-12edaA卷答案

上传人:hs****ma 文档编号:479237534 上传时间:2023-05-18 格式:DOC 页数:5 大小:129.50KB
返回 下载 相关 举报
11-12edaA卷答案_第1页
第1页 / 共5页
11-12edaA卷答案_第2页
第2页 / 共5页
11-12edaA卷答案_第3页
第3页 / 共5页
11-12edaA卷答案_第4页
第4页 / 共5页
11-12edaA卷答案_第5页
第5页 / 共5页
亲,该文档总共5页,全部预览完了,如果喜欢就下载吧!
资源描述

《11-12edaA卷答案》由会员分享,可在线阅读,更多相关《11-12edaA卷答案(5页珍藏版)》请在金锄头文库上搜索。

1、沈阳理工大学试卷参考答案及评分标准(2011 -2012 学年第 一 学期)课程名称 EDA技术考试班级 09030301-09030304命题教师 王红、宋勤、胡玉兰 答 案 A或B A 考核形式开、闭 闭考试类型考查、考试 考试2011年11月18 日二一一 二一二 学年 第一 学期 EDA技术试卷A 参考答案及评分标准第一大题单项选择题(每小题2分 共20分)CCBBB CDDDD第二大题EDA名词解释,写出下列缩写的中文含义(101CPLD:复杂可编程逻辑器件2ASIC:专用集成电路3FPGA:现场可编程门阵列4EDA:电子设计自动化5ISP:在系统编程第三大题 程序填空题(20分)l

2、ibrary ieee; use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt10 isport( clk,rst,en,updown: in std_logic; cq: out std_logic_vector(3 downto 0);end cnt10;architecture behave of cnt10 isbegin process(clk,rst,en,updown) variable cqi:std_logic_vector(3 downto 0); begin if rst=1 then

3、 cqi:=(others=0); -计数器异步复位 elsif (clkevent and clk = 1) then if en=1then -检测是否允许计数(同步使能) if updown=0then if cqi0); -大于9,计数值清零 end if; else if cqi0 then cqi:=cqi-1; -检测是否大于0 else二 二 学年 第 学期 试卷 参考答案及评分标准 cqi:=(others=1); -否则,计数值置1二一一 二一二 学年 第一 学期 EDA技术 试卷 A 参考答案及评分标准 end if; end if; end if; end if; cq

4、=cqi; -将计数值向端口输出end process; end behave;第四大题 程序注解(20分,每空1分) 2选1选择器library ieee; 定义元件库 use ieee.std_logic_1164.all; 使用ieee库中的程序包ENTITY aa1 is 定义实体 port(a,b,s:in bit; a,b,s为输入端口,数据类型bit end aa1; 实体描述结束 architecture one of aa1 is 定义结构体 y=a when s=0 else b; 当S=0时 y=a,否则等b end one; 结构体描述结束 锁存器描述signal s1

5、 : bit ; 定义信号s1 begin 结构体描述开始 process (clk,d) 进程语句 begin if (clk = 1) 判断高电平 then 不完整条件语句 s1 = d; d向信号赋值 end if; if语句结束 q = s1 ; 信号s1 向q赋值 end process; 进程语句结束 end bo; 结构体描述结束 第五大题 编程题(要求编写完整)(30分)1试用VHDL描述一个外部特性如图所示的D触发器。(10分)参考程序如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY mydff IS PORT(CLK:IN

6、 STD_LOGIC; D:IN STD_LOGIC; Q:OUT STD_LOGIC);END;ARCHITECTURE bhv OF mydff ISBEGIN PROCESS(CLK)二一一 二一二 学年 第一 学期 EDA技术 试卷 A 参考答案及评分标准 BEGIN IF CLKEVENT AND CLK=1 THEN Q=D; END IF; END PROCESS;END;2.下图为某一状态机对应的状态图,试用VHDL语言描述这一状态机。(18分)参考程序如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY FSM2 IS PORT

7、 ( clk,reset,in1 : IN STD_LOGIC; out1 : OUT STD_LOGIC_VECTOR(3 downto 0);END;ARCHITECTURE bhv OF FSM2 IS TYPE state_type IS (s0, s1, s2, s3); SIGNALcurrent_ state,next_state: state_type;BEGIN P1:PROCESS(clk,reset) BEGIN IF reset = 1 THEN current_state = s0; ELSIF clk=1 AND clkEVENT THEN current_stat

8、e IF in1=1THEN next_state=s1; ELSE next_state IF in1=0THEN next_state=S2; ELSE next_state IF in1=1THEN next_state=S3; ELSE next_state IF in1=0THEN next_state=S0; ELSE next_state IF in1=1THEN out1=“1001”; ELSE out1 IF in1=0THEN out1=1100; ELSE out1 IF in1=1THEN out1=1111; ELSE out1 IF in1=1THEN out1=0000; ELSE out1=1111; END IF; end case; END PROCESS; end bhv;

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 幼儿/小学教育 > 小学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号