调幅信号发生器设计

上传人:壹****1 文档编号:476448397 上传时间:2023-01-14 格式:DOC 页数:21 大小:737.87KB
返回 下载 相关 举报
调幅信号发生器设计_第1页
第1页 / 共21页
调幅信号发生器设计_第2页
第2页 / 共21页
调幅信号发生器设计_第3页
第3页 / 共21页
调幅信号发生器设计_第4页
第4页 / 共21页
调幅信号发生器设计_第5页
第5页 / 共21页
点击查看更多>>
资源描述

《调幅信号发生器设计》由会员分享,可在线阅读,更多相关《调幅信号发生器设计(21页珍藏版)》请在金锄头文库上搜索。

1、湖南人文科技学院课程设计报告课程名称:VHDL语言与EDA课程设计设计题目: 调幅信号发生器设计 系 别: 通信与控制工程系 专 业: 电子信息工程 班 级: 2009级1班 学生姓名: 颜锐 李昌华 学 号: 09409143 09409124 起止日期: 2012年06月11日 2012年06月22日指导教师: 田汉平 岳舟 教研室主任: 侯海良 1指导教师评语: 指导教师签名: 年 月 日成绩评定项 目权重成绩颜锐李昌华1、设计过程中出勤、学习态度等方面0.22、课程设计质量与答辩0.53、设计报告书写及图纸规范程度0.3总 成 绩 教研室审核意见:教研室主任签字: 年 月 日教学系审核

2、意见: 主任签字: 年 月 日摘 要在通信系统中,调制解调方式常常决定了一个通信系统的性能,而幅度调制是一种应用很广的连续波调制方式。在实际电路的设计过程中,要设计一个幅度模拟调制电路(am电路)是相当复杂的,而且要修改其中的调幅指数也不方便。但随着现代电子技术的日趋成熟,采用Matlab/Simulink下建立相应的通信系统的数学模型,然后在FPGA中以全数字化方法实现系统中的关键模块是一种切实有效的方法。Altera 公司推出的DSP Builder工具将系统数学模型的建立和通信系统开发结合起来,大大提高了通信系统的开发效率。文中首先分析了调幅信号发生器的数学表达式,然后根据其数学表达式,

3、在matlab/simulink下建立相应的数学模型,然后利用DSP Builder模块库的SignalCompiler工具将此模型转换为VHDL语言,最后在QuartusII中对其进行编译直至下载到FPGA中,实现相应的电路。这种设计方法可以快速进行数字信号处理器的设计,而且又便于修改和扩充其功能,整个设计思路灵活,图形界面简单直观,开发周期短。关键词:数学模型,调幅信号,调制度,现场可编程门电路目 录1设计要求12前言13方案对比13.1方案一13.2方案二23.3方案选择44各功能模块分析44.1调制信号44.2多路选择器44.3载波信号44.4载波频率算法45调试过程55.1技术问题5

4、5.2现象记录56结论57元器件及仪器设备明细表68参考文献69致谢710、 附录710.1附录1710.2附录212调幅信号发生器设计1设计要求能将FPGA内部产生的低频信号进行调制,也能选择外部输入的幅度小于4V的低频信号进行调制,输出信号的载波频率为100KHz。输出波形峰峰值大于2V。2前言目前的FPGA技术得到了极大的扩展, 资源容量和工作频率都有了很大的提高, 市场中XILINX 和ALTERA 公司的FPGA 芯片都是很好的选择。而且其都支持主流的硬件编程语言VHDL 和VERILOG。在FPGA 中, 相位累加器和相位调制器都可通过加法器来实现。如果要实现对幅度的调制则可在正弦

5、查找表后插入一个乘法器来实现。在用FPGA 设计的过程中, 整个流程都采用系统时钟产生和控制, 所以其各个部分的时序和同步性需要认真对待,还有考虑到加法器以及乘法器等对资源的使用情况, 进位链或流水线技术都可以考虑进行利用。3方案对比3.1方案一利用单片机将模拟电路实现部分数字化,从而使硬件设计更为简单,图3-1 为简化结构框图。由外部接口、单片机、D/ A 转换器、数码显示和示波器组成。软件程序经编译后写到单片机CPU 中,将软件程序数据表中对应调幅波数字量由D/ A 转换器转换为模拟信号,频率值通过数码管来显示,也可在示波器上观察到实际的调幅波。图3-1图3-2 为信号发生器电路原理图,

6、主要有8051CPU、DAC0832、LM324、插座等外围电路组成,8051 外接12MHz 晶振和复位电路,以满足电路正常工作。J1 插座可接到面板上,外接相应的按钮来改变信号的幅度和频率,其中1、2 用于改变信号的幅度,3、4、5、6 用于改变信号的频率。J2 插座用来外界输出数码显示,VCC 是电源端,GND 是地端,电压范围为3.65 V。图3-23.2方案二调幅信号表达式为:F =Fdr*(1+Fam*m)(1.1)式中:F是调制后的输出信号,Fdr是载波信号,Fam是调制信号,m是调制度(0m1)。Fdr和Fam都是有符号函数。图3-3为调幅信号发生器的总体框图。图3-3根据幅度

7、调制信号调幅原理,可以在Matlab/Simulink 下利用DSP Builder 来建立幅度调制信号模型。可以在Matlab/Simulink 作出图3-4所示的电路模型。其中ADDER、Dly、Bus、BusConversion、LMT 构成一个DDS 模块,产生调制信号。进入乘法器Product的a 端;进入b 端的是Mux的输出,这是一个8 选1 的多路选择器,对预设好的8个调制度数据进行选择,这里设置的调制度分别从0.9 到0.1 共8 个调制度值,选通信号由SL输入。ADDER2 将乘积(乘积项取高8 位整数)与128相加。由于是8位乘积,故128类似于1.1 式中的1。和进入第

8、2 个乘法器Product1的a端。元件ADDER1、Dly1、BusConversion1、Bus1、LUT1构成另一个DDS 模块,产生载波信号。进入乘法器Product1的b 端,即得到调幅模拟信号,然后将此调幅模拟信号经10位DAC转换后输出,即得到模拟调幅模拟信号。Cst1 输入的数据控制调制信号频率;Cst2 输入的数据控制载波信号频率;SL1的数据控制调制度;SL2选择内外信号调制。 图3-43.3方案选择方案一和方案二都将模拟电路实现部分数字化,使设计更加简单。但是方案二比方案一更简便,设计周期更短。所以选择方案二。4各功能模块分析4.1调制信号其中ADDER、Dly、Bus、

9、BusConversion、LMT 构成一个DDS 模块,产生调制信号。ADDER并行加法器把Bus会送我数据进行加法计算,Dly延时为了便于Bus回送数据,ADDER、Dly、Bus模块构成相位累加器。BusConversion选择16位数据的高8位,LUT正弦查找表,为输出正弦信号。4.2多路选择器Mux是8选1的多路选择器,对预设好的8个调制度数据进行选择,这里设置的调制度分别从0.9到0.1 共8个调制度值,选通信号由SL输入。4.3载波信号元件ADDER1、Dly1、BusConversion1、Bus1、LUT1构成另一个DDS 模块,产生载波信号。原理同调制信号模块。4.4载波频

10、率算法算法公式:Fout=(M/2n)*FclkM=(Fout/Fclk)*(2n)载波信号为100KHz。BusConversion取高10位,时钟频率为1.5MHz计算得Cst2的数值为5726623065调试过程5.1技术问题在输出的时候会锁定D/A的引脚,导出引脚的时候只有10位输入的数据引脚而没有DACLK的引脚,导致无法进行D/A转化,从而看不到现象。解决办法是:在转化的VHDL总程序上修改程序,实体部分定义D/A的输入端口,在结构体把clk的值赋给DACLK。在进行编译下载,锁定引脚就可以进行转化。5.2现象记录图5-1是在不同调制度的仿真波形,由此可以看出,所设计的模型可以满足

11、要求。图5-1在FPGA 器件中实现AM 信号打开 Quartus II 环境,定位到AM 模型所在的目录,打开DSP Builder 建立的QuartusII 项目文件:am.qpf。在Quartus II 中进行仿真,然后指定器件管脚、进行编译、最后下载到FPGA 器件中,就可以对硬件进行测试,加上CLCOK 信号和基带信号,用示波器检测D/A(DA5651)的输出。将所有控制信号设为有效,就可以在示波器上看到产生的AM 信号了。通过示波器我们得到了理想的AM 信号。需要调节其调制度时,仅修改相应的按键就可以实现,这样不仅避免了繁所的VHDL 语言编程,而且便于进行调整。6结论由上面的设计

12、可以看出根据信号的数学表达式,采用MATLAB/DSP Bulider 建立其数学模型,再在Quartus II 中进行编译,最后下载到目标器件这种方法实现AM 信号调制的方法,可以快速进行数字信号处理器的设计,甚至不需要设计者十分了解FPGA 本身和VHDL 语言,既避免了VHDL 编程的复杂性,而且又便于修改和扩充其功能,达到需要的调制信号,整个设计思路灵活,图形界面简单直观,开发周期短。另外,借助Matlab 的仿真功能可以方便地查看设计结果,因此它是一种简单有效的设计方法。将AM 信号发生器的设计嵌入到FPGA 芯片所构成的系统中,其系统成本并不会增加多少,而购买专用芯片的价格则是前者

13、的很多倍。所以采用FPGA 设计AM 信号调制具有很高的性价比。本文设计的创新点在于根据设计电路的数学表达式,在 Matlab/Simulink 下建立相应的数学模型,然后利用DSP Builder 模块中SignalCompiler 工具,将其转为VHDL 语言,最后在Quartus II 中进行编译,最后下载到目标器件实现所需要的电路。这种根据数学模型设计电路的方法极大地简化了硬件实现流程, 同时提供了系统仿真测试功能, 使利用FPGA 设计并实现数字信号处理器的设计更加灵活, 更容易开发。7元器件及仪器设备明细表名称型号/参数数量备注EDA/SPOSC实验开发系统GW48-PK21套计算

14、机联想1台Quartus II6.0版1套MATLAB7.0版1套DSP Builder6.0版1套示波器ADS7022S1台8参考文献1 潘松,黄继业,王国栋现代DSP 技术M西安电子科技大学出版社,2003 年,P213-P2192 潘松,黄继业EDA 技术与VHDL(第3版)M清华大学出版社,2011年,P350-3513 石伟,宋跃,李琳基于FPGA 的DDS 调频信号的研究与实现J 微计算机信息,2005,5:179-1804 赖昭胜,管立新基于Dsp Builder 的DDS 实现及其应用J 微计算机信息, 2006年第22 卷第11-2期5马涛, 陈娟, 单洪基于DSPBuilder的数字下变频器的FPGA设计J 电子技术应用2

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 商业/管理/HR > 营销创新

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号