EDA技术及软件中英文资料外文翻译文献

上传人:hs****ma 文档编号:476235379 上传时间:2023-06-06 格式:DOCX 页数:13 大小:35.30KB
返回 下载 相关 举报
EDA技术及软件中英文资料外文翻译文献_第1页
第1页 / 共13页
EDA技术及软件中英文资料外文翻译文献_第2页
第2页 / 共13页
EDA技术及软件中英文资料外文翻译文献_第3页
第3页 / 共13页
EDA技术及软件中英文资料外文翻译文献_第4页
第4页 / 共13页
EDA技术及软件中英文资料外文翻译文献_第5页
第5页 / 共13页
点击查看更多>>
资源描述

《EDA技术及软件中英文资料外文翻译文献》由会员分享,可在线阅读,更多相关《EDA技术及软件中英文资料外文翻译文献(13页珍藏版)》请在金锄头文库上搜索。

1、中英文资料外文翻译文献EDA 技术及软件EDA是电子设计自动化(Electronic Design Automation的缩写,在20世纪90年代初从计算机 辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而 来。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件, 然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目 标芯片的适配编译、逻辑映射和编程下载等工作。1 EDA 技术的概念EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平

2、台,融合 了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计 算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机 上自动处理完成。现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、 医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门 广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到A 技术。本文所指的EDA技术,主要针对电

3、子电路设计、PCB设计和IC设计。EDA设计可分为系统级、电路级和物理实现级。2 EDA 常用软件EDA工具层出不穷,目前进入我国并具有广泛影响的DA软件有:multiSIM7 (原EWB的最新版 本)、 PSPICE、 OrCAD、 PCAD、 Protel、 Viewlogic、 Mentor、 Graphics、 Synopsys、 LSIIogic、 Cadence、 MicroSim等等。这些工具都有较强的功能,一般可用于几个方面,例如很多软件都可以进行电路设计 与仿真,同进还可以进行PCB自动布局布线,可输出多种网表文件与第三方软件接口。下面按主要功能或主要应用场合,分为电路设计与

4、仿真工具PCB设计软件、IC设计软件、PLD 设计工具及其它EDA软件,进行简单介绍。2.1 电子电路设计与仿真工具我们大家可能都用过试验板或者其他的东西制作过一些电子制做来进行实践但。是有的时候,我 们会发现做出来的东西有很多的问题,事先并没有想到,这样一来就浪费了我们的很多时间和物资。 而且增加了产品的开发周期和延续了产品的上市时间从而使产品失去市场竞争优势。有没有能够不动 用电烙铁试验板就能知道结果的方法呢?结论是有,这就是电路设计与仿真技术。说到电子电路设计与仿真工具这项技术,就不能不提到美国,不能不提到他们的飞机设计为什么 有很高的效率。以前我国定型一个中型飞机的设计,从草案到详细设

5、计到风洞试验再到最后出图到实 际投产,整个周期大概要10年。而美国是1年。为什么会有这样大的差距呢?因为美国在设计时大部 分采用的是虚拟仿真技术,把多年积累的各项风洞实验参数都输入电脑,然后通过电脑编程编写出一 个虚拟环境的软件,并且使它能够自动套用相关公式和调用长期积累后输入电脑的相关经验参数。这 样一来,只要把飞机的外形计数据放入这个虚拟的风洞软件中进行试验,哪里不合理有问题就改动那 里,直至最佳效果,效率自然高了,最后只要再在实际环境中测试几次找找不足就可以定型了,从他 们的波音747到F16都是采用的这种方法空气动力学方面的数据由资深专家提供软件开发商是IBM, 飞行器设计工程师只需利

6、用仿真软件在计算机平台上进行各种仿真调试工作即可。同样,他们其他的 很多东西都是采用了这样类似的方法,从大到小,从复杂到简单,甚至包括设计家具和作曲,只是具 体软件内容不同。其实,他们发明第一代计算机时就是这个目的(当初是为了高效率设计大炮和相关 炮弹以及其他计算量大的设计)。电子电路设计与仿真工具包括SPICE/PSPICE multiSIM7; Matlab; SystemView; MMICADLiveWire、 Edison、Tina Pro Bright Spark等。下面简单介绍前三个软件。(1)SPICE(Simulation Program with Integrated Ci

7、rcuit Emphasis:是由美国加州大学 推出的电路分析仿真软件,是20世纪80年代世界上应用最广的电路设计软件,1998年被定为美国国 家标准。1984年,美国MicroSim公司推出了基于SPICE的微机版PSPICE (Personal-SPICE)。现在 用得较多的是PSPICE6.2可以说在同类产品中,它是功能最为强大的模拟和数字电路混合仿真DA 软件,在国内普遍使用。最新推出JPSPICE9.1版本。它可以进行各种各样的电路仿真、激励建立、 温度与噪声分析、模拟控制、波形输出、数据输出、并在同一窗口内同时显示模拟与数字的仿真结果。 无论对哪种器件哪些电路进行仿真,都可以得到精

8、确的仿真结果,并可以自行建立元器件及元器件库。(2)multiSIM (EWB 的最新版本)软件:是Interactive Image Technologies Lt在 20 世纪 末推出的电路仿真软件。其最新版本为multiSIM7,目前普遍使用的是multiSIM2001,相对于其它EDA 软件,它具有更加形象直观的人机交互界面,特别是其仪器仪表库中的各仪器仪表与操作真实实验中 的实际仪器仪表完全没有两样,但它对模数电路的混合仿真功能却毫不逊色,几乎能够100%地仿真出 真实电路的结果,并且它在仪器仪表库中还提供了万用表、信号发生器、瓦特表、双踪示波器(对于 mul tiSIM7还具有四踪

9、示波器)、波特仪(相当实际中的扫频仪)、字信号发生器、逻辑分析仪、逻 辑转换仪、失真度分析仪、频谱分析仪、网络分析仪和电压表及电流表等仪器仪表。还提供了我们日 常常见的各种建模精确的元器件,比如电阻、电容、电感、三极管、二极管、继电器、可控硅、数码 管等等。模拟集成电路方面有各种运算放大器、其他常用集成电路。数字电路方面有74系列集成电路、 4000系列集成电路、等等还支持自制元器件MultiSIM7还具有I-V分析仪(相当于真实环境中的晶 体管特性图示仪)和Agilent信号发生器、Agilent万用表、Agilent示波器和动态逻辑平笔等。同时 它还能进行VHDL仿真和Verilog HD

10、L仿真。(3)MATLAB产品族:它们的一大特性是有众多的面向具体应用的工具箱和仿真块,包含了完整 的函数集用来对图像信号处理、控制系统设计、神经网络等特殊应用进行分析和设计。它具有数据采 集、报告生成和MATLAB语言编程产生独立C/C+弋码等功能0 MATLAB产品族具有下列功能:数据分析; 数值和符号计算、工程与科学绘图;控制系统设计;数字图像信号处理;财务工程;建模、仿真、原 型开发;应用开发;图形用户界面设计等MATLAB产品族被广泛应用于信号与图像处理、控制系统设 计、通讯系统仿真等诸多领域。开放式的结构使MATLAB产品族很容易针对特定的需求进行扩充,从而 在不断深化对问题的认识

11、同时,提高自身的竞争力。2.2 PCB 设计软件PCB (Prin ted-Circui tBoard)设计软件种类很多,女如Pro tel、OrCAD、Viewlogic、PowerPCB、 Cadence PSD、Men to rGraphices 的 Exped itio nPCB、ZukenCadS tart、Winboard/Windraf t/Ivex-SPICE PCBStudio、TANGO、PCBWizard(与LiveWire配套的PCB制作软件包)、ultiBOARD7(与multiSIM2001 配套的PCB制作软件包)等等。目前在我国用得最多当属Pro tel,下面仅

12、对此软件作一介绍。Pro tel是PROTEL(现为Alti um)公司在20世纪80年代末推出的CAD工具,是PCB设计者的首 选软件。它较早在国内使用,普及率最高,在很多的大、中专院校的电路专业还专门开设3r。tel课程, 几乎所在的电路公司都要用到它。早期的。tel主要作为印刷板自动布线工具使用,其最新版本为 ProtelDXP,现在普遍使用的是Protel99SE,它是个完整的全方位电路设计系统,包含了电原理图绘 制、模拟电路与数字电路混合信号仿真、多层印刷电路板设计(包含印刷电路板自动布局布线),可 编程逻辑器件设计、图表生成、电路表格生成、支持宏操作等功能,并具有ien t/Ser

13、ver(客户/服 务体系结构),同时还兼容一些其它设计软件的文件格式,如RCAD、PSPICE、EXCEL等。使用多层 印制线路板的自动布线,可实现高密度PCB的100%布通率。Pro tel软件功能强大(同时具有电路仿真 功能和PLD开发功能)、界面友好、使用方便,但它最具代表性的是电路设计和CB设计。2.3 IC 设计软件IC设计工具很多,其中按市场所占份额排行为adence、MentorGraphics和Synopsys。这三家 都是ASIC设计领域相当有名的软件供应商其它公司的软件相对来说使用者较少中国华大公司也提 供ASIC设计软件(熊猫2000);另外近来出名的Avanti公司,是

14、原来在Cadence的几个华人工程师 创立的,他们的设计工具可以全面和Cadence公司的工具相抗衡,非常适用于深亚微米的IC设计。下 面按用途对IC设计软件作一些介绍。(1)设计输入工具这是任何一种EDA软件必须具备的基本功能。像Cadence的composer, viewlogic的viewdraw, 硬件描述语言VHDL、Verilog HDL是主要设计语言,许多设计输入工具都支持DL (比如说multiSIM 等)。另外像Active-HDL和其它的设计输入方法,包括原理和状态机输入方法,设计FPGA/CPLD的工 具大都可作为IC设计的输入手段,女口 Xilinx、Alt era等公

15、司提供的开发工具Modelsim FPGA等。(2)设计仿真工作我们使用EDA工具的一个最大好处是可以验证设计是否正确,几乎每个公司的DA产品都有仿真 工具。Verilog-XL、NC-verilog 用于 Verilog 仿真,Leapfrog 用于 VHDL 仿真,Analog Art is t 用于 模拟电路仿真oViewlogic的仿真器有:viewsim门级电路仿真器,speedwaveVHDL仿真器,VCS-verilog 仿真器。Men tor Graphics有其子公司Model Tech出品的VHDL和Verilog双仿真器:Model Sin。 Cadence、Synops

16、ys用的是VSS (VHDL仿真器)。现在的趋势是各大ZDA公司都逐渐用HDL仿真器作 为电路验证的工具。(3)综合工具综合工具可以把HDL变成门级网表。这方面Synopsys工具占有较大的优势,它的DesignCompile 是作为一个综合的工业标准,它还有另外一个产品叫ehavior Compiler可以提供更高级的综合。另外最近美国又出了一个软件口Ambit,据说比Synopsys的软件更有效,可以综合50万门的电 路,速度更快。今年初Ambit被Cadence公司收购,为此Cadence放弃了它原来的综合软件Synergy。 随着FPGA设计的规模越来越大,各EDA公司又开发了用于FPGA设计的综合软件,比较有名的有: Synopsys 的 FPGAExpress, Cadence 的 Synplity. Mentor 的 Leonardo,这三家的FPGA综合软件占 了市场的绝大部分。(4) 布局和布线在IC设计的布局布线工具

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 机械/制造/汽车 > 综合/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号