[毕业设计精品]基于VHDL的12位十进制数字频率计的设计仿真

上传人:公**** 文档编号:475595573 上传时间:2023-12-28 格式:DOC 页数:10 大小:470.01KB
返回 下载 相关 举报
[毕业设计精品]基于VHDL的12位十进制数字频率计的设计仿真_第1页
第1页 / 共10页
[毕业设计精品]基于VHDL的12位十进制数字频率计的设计仿真_第2页
第2页 / 共10页
[毕业设计精品]基于VHDL的12位十进制数字频率计的设计仿真_第3页
第3页 / 共10页
[毕业设计精品]基于VHDL的12位十进制数字频率计的设计仿真_第4页
第4页 / 共10页
[毕业设计精品]基于VHDL的12位十进制数字频率计的设计仿真_第5页
第5页 / 共10页
点击查看更多>>
资源描述

《[毕业设计精品]基于VHDL的12位十进制数字频率计的设计仿真》由会员分享,可在线阅读,更多相关《[毕业设计精品]基于VHDL的12位十进制数字频率计的设计仿真(10页珍藏版)》请在金锄头文库上搜索。

1、基于VHDL的12位十进制数字频率计的设计仿真一、功能与要求:该计数器的功能:对被测试信号进行计数,在1秒定时结束后,将计数器结果送锁存器锁存,同时将计数器清零,为下一次采样测量做好准备。要求如下:1.用VHDL完成12位十进制数字频率计的设计及仿真。2.频率测量范围:1Hz10KHz,分成两个频段,即1999Hz,1KHz10KHz,用三位数码管显示测量频率,用LED显示表示单位,如亮绿灯表示Hz,亮红灯表示KHz。3.具有自动校验和测量两种功能,即能用标准时钟校验、测量精度。4.具有超量程报警功能,在超出目前量程档的测量范围时,发出灯光和音响信号。二、设计思路图2.1是频率计数器的原理图。

2、图2.1频率计数器的原理图1、基本原理:计算单位时间内待测信号的脉冲个数,各模块设计成process。测量/校验选择模块(test_meas)测频控制信号发生器(二分频)(clk_process)计数器模块(cnt_process)送存选择、报警模块(tostore_process)锁存模块(store_process)扫描显示模块(cnt3_process,bus_process, disp_process)2、各模块功能图2.2测量校验选择如图2.2为测量/校验选择模块,该模块的信号如下:输入信号:选择信号selet,被测信号meas,测试信号test;输出信号:CP1。当selet=0时

3、,为测量状态,CP1=meas;当selet=1时,为校验状态,CP1=test。校验与测量共用一个电路,只是被测信号CP1不同而已。图2.3测频控制信号发生器(二分频)如图2.3为测频控制信号发生器(二分频),该模块的信号如下:输入信号:1HZ时钟信号;输出信号:1秒定时信号(周期为2秒)。图2.4计数器、送存选择、报警模块1、如图2.4为计数器、送存选择、报警模块,模块的功能如下:设置:量程档控制开关K,单位显示信号Y,当K=0时,为1999Hz量程档,数码管显示的数值为被测信号频率值,unit显示绿色,即单位为Hz;当K=1时,为1KHz10KHz量程档􀂙被测信号频率值

4、为数码管显示的数值乘1000,unit显示红色,即单位为KHz。2、其中四级十进制计数器模块(带进位C)模块功能如下:输入信号:RD、CP,用于计数开始、清零、锁存输出信号:Q4Q1设置超出量程档测量范围示警信号alert。若被测信号频率小于1KHz(K=0),则计数器只进行三级十进制计数,最大显示值为999.Hz;如果被测信号频率超过此范围,示警信号驱动灯光、扬声器报警;若被测信号为1KHz10KHz(K=1),计数器进行四位十进制计数,取高三位显示,最大显示值为9.99KHz,如果被测信号频率超过此范围,报警。3、送存选择、报警电路状态表如表2.1。表2.1送存选择、报警电路状态表图2.5

5、锁存,扫描显示模块图2.5为锁存、扫描显示模块,该模块功能如下:锁存器输入信号:D3D1,LD;输出信号:Q3Q1 ,小数点单位显示unit。图2.6扫描显示电路如如2.6扫描显示电路,该模块包含两个模块:七段显示译码器电路(DEC_LED);分时总线切换电路(SCAN)。三、原理图说明图3.1频率计显示原理图如图3.1,输入有扫描时钟clkscan,分频时钟clk1hz,单位选择键K,被测信号meas,测试信号test,测试校验选择键sel。输出有数码管信号led,数码管选择信号ms123,报警信号alert,单位显示信号unit。四、源代码说明-实体声明library IEEE;use I

6、EEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity dig_frq is Port ( clk1hz : in STD_LOGIC; clkscan : in std_logic; test : in STD_LOGIC; meas : in STD_LOGIC; sel : in STD_LOGIC; k : in STD_LOGIC; alert : out STD_LOGIC; unit : out STD_LOGIC; ms123 : out STD_LOG

7、IC_VECTOR (2 downto 1); led : out STD_LOGIC_VECTOR (8 downto 1);end dig_frq;-结构体说明architecture Behavioral of dig_frq issignal cp1:std_logic;signal clk05hz:std_logic:=0;signal cp:std_logic;signal rd:std_logic:=1;signal c:std_logic;signal q4,q3,q2,q1:std_logic_vector(4 downto 1):=0000;signal load:std_

8、logic:=0;signal d3,d2,d1:std_logic_vector(4 downto 1):=0000;signal data3,data2,data1:std_logic_vector(4 downto 1):=0000;signal disp:std_logic_vector(4 downto 1):=0000; signal ms123_s:STD_LOGIC_VECTOR (2 downto 1);begin-时钟电路,1hz信号二分频产生0.5hz信号,1s高电平,1s低电平clk_process:process(clk1hz) beginif(clk1hzevent

9、 and clk1hz=1)thenclk05hz=not clk05hz;end if;end process;-测试、校验选择模块test_meas:process(sel,meas,test)beginif(sel=1)thensel为1时cp1为测试信号cp1=meas;elsesel为0时cp1为校验信号cp1=test;end if;end process;-锁存的加载信号load,当分频信号clk05hz上升沿时有效load=not clk05hz;cp=clk05hz and cp1;-计数清零信号rd,当分频信号clk05hz为0时有效rd=clk05hz;-四位bcd计数电

10、路cnt_process:process(cp,rd)variable q4_s,q3_s,q2_s,q1_s:integer range 0 to 9;variable c_s:integer range 0 to 1;beginif(rd=0)thenq4_s:=0;q3_s:=0;q2_s:=0;q1_s:=0;c_s:=0;elsif(cpevent and cp=1)thenif(q4_s=9 and q3_s=9 and q2_s=9 and q1_s=9 and c_s=1)thenq4_s:=0;q3_s:=0;q2_s:=0;q1_s:=0;c_s:=0;elsif(q4_s

11、=9 and q3_s=9 and q2_s=9 and q1_s=9)thenq4_s:=0;q3_s:=0;q2_s:=0;q1_s:=0;c_s:=1;elsif(q3_s=9 and q2_s=9 and q1_s=9)thenq4_s:=q4_s+1;q3_s:=0;q2_s:=0;q1_s:=0;elsif(q2_s=9 and q1_s=9)thenq3_s:=q3_s+1;q2_s:=0;q1_s:=0;elsif(q1_s=9)thenq2_s:=q2_s+1;q1_s:=0;elseq1_s:=q1_s+1;end if;end if;q4=conv_std_logic_v

12、ector(q4_s,4);q3=conv_std_logic_vector(q3_s,4);q2=conv_std_logic_vector(q2_s,4);q1=conv_std_logic_vector(q1_s,4);if(c_s=0)thenc=0;else c=1;end if;end process;-送锁存电路tostore_process:process(q4,q3,q2,q1,c,k)beginif(k=0)then-当计数单位选择k为0时,输出计数器的低三位d3=q3;d2=q2;d10000 or c0)then-此时,当计数超过三位bcd数时,报警信号置1alert=

13、1;elsealert=0;end if;elsif(k=1)then-当计数单位选择k为1时,输出计数器的高三位d3=q4;d2=q3;d10)then-此时,当计数超过四位bcd数时,报警信号置1alert=1;else alert=0;end if;end if;end process;-锁存电路store_process:process(load,d1,d2,d3,k)beginif(loadevent and load=1)then-加载信号load下降沿时,锁存数据data3=d3;data2=d2;data1=d1;if(k=0)then-当单位选择键K为0时,单位unit置0,显示绿色unit=0;else-当单位选择键K为1时,单位unit置1,显示红色unit=1;end if;end if;end process;-计数到3的计数器,产生数码管选择信号cnt3_process:process(clkscan)variable cnt:integer range 0 to 2:=0;beginif(clksc

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 大杂烩/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号