密码锁verilog课程设计课案(DOC 20页)

上传人:鲁** 文档编号:472434879 上传时间:2023-09-12 格式:DOC 页数:21 大小:211KB
返回 下载 相关 举报
密码锁verilog课程设计课案(DOC 20页)_第1页
第1页 / 共21页
密码锁verilog课程设计课案(DOC 20页)_第2页
第2页 / 共21页
密码锁verilog课程设计课案(DOC 20页)_第3页
第3页 / 共21页
密码锁verilog课程设计课案(DOC 20页)_第4页
第4页 / 共21页
密码锁verilog课程设计课案(DOC 20页)_第5页
第5页 / 共21页
点击查看更多>>
资源描述

《密码锁verilog课程设计课案(DOC 20页)》由会员分享,可在线阅读,更多相关《密码锁verilog课程设计课案(DOC 20页)(21页珍藏版)》请在金锄头文库上搜索。

1、 课程设计报告课程设计题目:4位串行数字密码锁 学 号:201420130326学生姓名:谢渊良专 业:通信工程班 级:1421302指导教师:钟凯 2017年 1月 5日1.摘要随着科技的发展数字电路的各种产品广泛应用,传统的机械锁由于其构造的简单,安全性不高,电子密码锁其保密性高,使用灵活性好,安全系数高,使用方便,将会是未来使用的趋势。本设计使用EDA设计使设计过程廷到高度自动化,其具有强大的设计功能、测试、仿真分析、管理等功能。使用EDA环境完成电路的系统综合设计和仿真。用VHDL可以更加快速、灵活地设计出符合各种要求的密码锁。 本设计基于Verilog HDL语言来设计密码锁,先介绍

2、设计要求和整体设计思想,随后对所使用各模块分别为键盘模块、连接模块、控制模块进行了介绍,给出各个模块的主要代码,在对各个模块的功能进行仿真。关键字:密码锁 Verilog HDL 2.设计内容 设计一个4位数字密码锁子系统 1) 1.2设计要求 开锁密码为4位二进制,当输入密码与锁内给定的密码一致时,方可开锁。否则进入“错误”状态,发出报警信号。2) 锁内的密码可调。3) 串行数字密码锁的报警,直到按下复位开关,才停下。此时,数字密码锁又自动等待下一个开锁状态。3.系统设计 本设计中,FPGA系统采用硬件描述语言Verilog按模块化方式进行设计,并用modersim软件对各个模块进行编写仿真

3、。3.1键盘模块键盘电路理想接口图:键盘模块0 1 flag Set Reset key_value 设计原理:本模块采用22的扫描键盘电路,对输入信号进行采集,此模块的主要功能是每按下一个按键,flag产生一个矩形波,作为连接模块的触发信号。同时key_value值为所按下键的编码值,与flag一同传入连接模块。实际设计接口图: 键盘模块 b a flag key_value 键盘模块仿真图:跟据图中所示当输出kevalue:10值的时候,flag出现一个矩形波。当输出kevalue:11值的时候,flag再次出现上跳沿。实际上,上面的图写的测试文件是有一点错误的,当a扫描到第三个值(01)

4、时,b在实际电路中应该是01而不是11,此时根据程序flag应置为1,当然此时flag本来就是1,不会发生错误。在实际中,时钟频率跳的如此之快,人按一下按键的持续时间还是有的,所以flag应在按键按完后再下降下来。不然多出很多无用的矩形波,这个装置就没用了。3.2连接模块连接模块接口图:连接模块 a_led b_ledflag flag2 akeyvalue b c dsetreset设计原理:本连接模块通过flag信号下降沿触发,将keyvalue送入连接模块进行运算,当连续四个0和1键按下时,flag2产生一个矩形波,并将四个值分别送入a,b,c,d中,如果按下的是set键,则set置1,

5、如果按下的是reset键,则reset键置1;a_led,b_led是灯泡,如果按的是0键,则a_led置1,若是1键,则b_led置1。连接模块仿真图如下:这里有一个需要注意的点是,当第一次按了0键后马上按reset键,再按一下1键时,a的值是1,而不是0。每次按了reset或set,a,b,c,d都是要重新赋值的,这才符合实际情况。3.3控制模块:因为这个密码锁是循环使用的,就一定有不同的状态。这里采用有限状态机的方法进行设计。所以把开锁过程分为三个部分:1.等待输入状态;2.重设密码状态;3.输出结果状态;重设完密码标志Reset=1输完密码标志Set=1重设密码状态输出结果状态等待输入

6、状态状态转换图如下所示:控制模块接口图:控制模块 flag2 ena a b c_led c d d_led set reseclkt设计原理:通过各种状态的转变,实现密码锁的开锁,报错,重设密码功能。当密码错误是ena=1;当重设密码成功时c_led置为1;当输入密码成功开锁时d_led为1。控制模块仿真如下:因为初设密码是0000,所以在第一个flag2的矩形波到来后,d_led出现一个矩形波,实际上不应该出现矩形,一直亮直到reset重置才行。或者设计一个计数器都行,虽然只是一些小错误,但如果在实际验证中可能现象就不易观察了。然后就是按下set键的模拟了,波形都达到了课设的要求。这是令人

7、欣喜的,虽然经过了很多次的修改,实在是很不容易。4.实验心得我从第二个星期的星期一开始做,本来只是随便做一下,但是看到周围同学都热情高昂,我也深受感染,然后开始查资料,后面看到这个状态机的方法很不错,很方便的解决了状态的转换问题,然后我就尝试这个方法。同时在写程序的时候我也遇到了很多了困难,其中最难找的错误就是逻辑错误,但是最终还是一一被我解决了。心中的成就感还是有一些的。通过此次的课设,使我对数字电路的设计有更深层次的了解(各种时序),对verilog语言的运用也更加熟练。由于时间和心力有限的原因,使我只能止步各个模块的设计了。本来还想联合仿真的,但是电脑里只装了modersim,其中又有一

8、个键盘开关的硬件,还是比较难实现的。我想,如果我的程序下载到fpga芯片里,那是一定会出现不少错误的,实际的情况往往更加复杂,这也是我的一大遗憾!最后我要感谢我的室友,感谢他们对我的关爱,在我将要放弃的时候鼓励我,使我积极向前。在此,我还要特别感谢英明兄的无私帮助,减少了我找编译错误的时间。还依稀记得上次的数电感觉也是如此,很不错啊。附:Verilog程序代码1.1 Key_board_input:module key_board_input(clk,a,b,keyvalue,flag ,q,j); input clk; input1:0 b; output reg1:0 a; output

9、reg1:0 keyvalue; output reg flag; output reg q=1; output reg1:0 j=0; always (posedge clk) begin q=q+1; case(q) 0:a=2b01; 1:a=2b10; endcase case(a,b) 4b10_01:begin keyvalue=2b00;flag=1;j=3;end 4b10_10:begin keyvalue=2b01;flag=1;j=3;end 4b01_01:begin keyvalue=2b10;flag=1;j=3;end 4b01_10:begin keyvalue

10、=2b11;flag=1;j=3;end default:keyvalue=keyvalue; endcase begin j=j+1; if(j=3) flag=0; end end endmodule 1.2 key_board_test:timescale 1s/1smodule key_board_test(); reg clk; reg1:0 b; wire1:0 a; wire1:0 keyvalue; wire flag; wire q; wire 1:0 j; key_board_input u2(clk,a,b,keyvalue,flag,q,j); initial begi

11、n #0 clk=0; #2 clk=1;b=1; #2 clk=0; #2 clk=1;b=3; #2 clk=0; #2 clk=1;b=3; #2 clk=0; #2 clk=1;b=3; #2 clk=0; #2 clk=1;b=2; #2 clk=0; #2 clk=1;b=3; #2 clk=0; #2 clk=1;b=3; #2 clk=0; #2 clk=1;b=3; end endmodule 2.1 connect:module connect(flag,keyvalue,a_led,b_led,flag2,a,b,c,d,set1,reset,jishu,jishu1,jishu2,hh);input flag;input 1:0keyvalue;output reg a_led,b_led,flag2,a,b,c,d,set1,reset;output reg 1:0 jishu=2b00;output reg 1:0jishu1=0,jishu2=0,hh=0;always(negedge flag) begin jishu2=

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 建筑/环境 > 施工组织

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号