QuartusII简明操作指引

上传人:公**** 文档编号:470848694 上传时间:2023-04-06 格式:DOC 页数:5 大小:60.50KB
返回 下载 相关 举报
QuartusII简明操作指引_第1页
第1页 / 共5页
QuartusII简明操作指引_第2页
第2页 / 共5页
QuartusII简明操作指引_第3页
第3页 / 共5页
QuartusII简明操作指引_第4页
第4页 / 共5页
QuartusII简明操作指引_第5页
第5页 / 共5页
亲,该文档总共5页,全部预览完了,如果喜欢就下载吧!
资源描述

《QuartusII简明操作指引》由会员分享,可在线阅读,更多相关《QuartusII简明操作指引(5页珍藏版)》请在金锄头文库上搜索。

1、Quartus II 简明操作指南在前面的实验里,我们所有的实验都是基于这样一个观点,即将一个数字系统划分成合适利用已有的中小规模数字集成电路的功能的模块,然后将这些集成电路通过外部引线连接起来。现在开始我们将研究用可编程逻辑器件(PLD/FPGA) 来进行数字系统设计。可编程逻辑器件是一种大规模的集成电路,其内部预置了大量易于实现各种逻辑函数的结构, 同时还有一些用来保持信息或控制连接的特殊结构,这些保持的信息或连接确定了器件实现的实际逻辑功能,当改变这些信息或连接时器件的功能也将随之改变。可编程逻辑器件的设计过程和传统的中小规模数字电路设计也不一样,可编程数字系统,无论是CPLD还是 FP

2、GA 器件都需要利用软件工具来进行设计。可编程数字系统设计总体上一般可以分为设计输入、工程处理、设计校验和器件编程这四个主要过程。下面我们将一个简单的模60BCD 计数器为例,说明可编程数字系统设计的根本流程、概念和方法,掌握Quartus II软件的根本功能和操作,了解原理图输入方式的设计全过程。设计工程输入设计输入是设计者对系统要实现的逻辑功能进行描述的过程。设计输入有多种表达方 式,本次我们主要学习图形输入法。1.1 建立工程工程1 . 翻开 Quartus II , 在 File 菜单中选择New Project Wizard 项, 将出现工程工程建立向导对话框。2 . 点击 “ Ne

3、xt, 进入到相应的对话框,在最上面的文本输入框中输入工程所在的目录名(注意:不能用中文名,下同),在中间的文本输入框中输入工程名称,在最下面的文本输入框中输入最顶层模块的名称。3 .点击“Next进入到设计文件选择对话框,由于在本例中还没有任何设计文件,所以不 选择任何文件。4 .点击“Next,进入到器件选择对话框,在Family下拉菜单中选择Cyclone在AvailableDevices 列表栏中选择“EP1C3T144C8。5 .点击“Next入到第三方 EDA工具选择对话框,在这个界面我们可以选择第三方的综合工具、仿真工具和时延分析工具。由于在本例中我们的综合、仿真和时延分析都采用

4、Quartus II 内置的工具,所以在这个页面不作任何选择。6 .点击Next入到“Summary对话框,在这个窗口列出了前面所作设置的全部信息。点击“Finish完成工程工程建立过程,回到主窗口。1.2 建立原理图输入文件在 Quartus II 中我们可以利用Block Editor 以原理图的形式进行设计输入和编辑。BlockEditor可以读取并编辑后缀名为 .bd的勺原理图设计文件以及在MAX+PLUS II中建立的后缀为 .gdf的原理图输入文件。1. 在 File 菜单中选择New 项,将出现新建文件对话框。选择“ Device Design File/BlockDiagram

5、/Schematic File 项。2.点击“OK在主界面中将翻开“BlockEditor窗口。 “Block Editor包括主绘图区和主绘图工具条两局部。主绘图区是用户绘制原理图的区域,绘图工具条包含了绘图所需要的一些工具。简要说明如下:片 选择工具:用于选择图中的器件、线条等绘图元素;心 插入器件:从元件库内选择要添加的元件口 插入模块:插入已设计完成的底层模块;正交线工具:用于绘制水平和垂直方向的连线;1 正交总线工具:用于绘制水平和垂直方向的总线;翻开/关闭橡皮筋连接功能:按下,橡皮筋连接功能翻开,此时移动元件连接在元 件上的连线也跟着移动,不改变同其他元件的连接关系;飞 翻开/关闭

6、局部正交连线选择功能:按下时翻开局部正交连线选择功能,此时可以通过用鼠标选择两条正交连线的局部;r 放大和缩小工具:按下时,点击鼠标左键放大,右键缩小显本绘图工作区;口 全屏显示:将当前主窗口全屏显示;小 垂直翻转:将选中的元件或模块进行垂直翻转;V 水平翻转:将选中的元件或模块进行水平翻转;d旋转90度:将选中的元件或模块逆时针方向旋转90度;元件的添加:在主绘图区双击鼠标左键,弹出相应的Symbol对话框,在name栏输入需添加的元件,如 7400或nand2 二输入与非门,not 非门,vcc 5v电源、高电 平,gnd 接地、低电平,input 输入引脚,output 输出弓I脚等,回

7、车或点击 ok,此时在鼠标光标处将出现该元件图标,并随鼠标的移动而移动,在适宜的位置点击鼠标左键,放置一个元件。也可以利用插入器件工具来添加元器件,方法类似。3 .命名输入输出引脚:双击输入输出引脚的“PIN_NAME输入自己定义的名字即可。4 .器件的连接和修改:连接元器件的两个端口时,先将鼠标移到其中一个端口上,这时鼠标指示符自动变为“+形状,然后一直按住鼠标的左键并将鼠标拖到第二个端口,放开左键,那么一条连接线被画好了。如果需要删除一根连接线,可单击这根连接线使其成高亮线,然后按键盘上的“Delete键即可。5 .保存文件:从“Fileil单下选择“Save出现文件保存对话框。单击“OK

8、;使用默认的文件名存盘。默认的文件名为工程顶层模块名加上“.bdf后缀。二、设计工程处理在完成输入后,设计工程必须经过一系列的编译处理才能转化为可以下载到器件内的编 程文件。1 .点击主工具栏上的叶按钮,开始Analysis and Synthesis编译过程。注意应该将要编译的文件设置成顶层文件才能对它进行编译,设置方法为:点击左边Project Navigator/files,翻开files/Device Design Files,选中要编译的 bdf文件,点击鼠标右键,在弹出的菜 单中选择 Set as Top-level Entity。2 .在工程处理过程期间,所有信息、错误和警告将会

9、在自动翻开的信息处理窗口中显示出来。如果有错误或警揭发生,双击该错误或警告信息,就会找到该错误或警告在设计文件中的位置。其中错误必须要修改,否那么无法执行后续的工程处理,对于警告那么要分情况处理。3 . 分配引脚:Analysis and Synthesis全部通过后,为了把我们的设计下载到实际电路中进 行验证,还必须把设计工程的输入输出端口和器件相应的引脚绑定在一起。有两种方法可以实现这个过程,一种是给引脚分配信号,另一种那么是给信号分配管脚。在此只介绍 给信号分配管脚的方法:选择菜单 Assignments Pins, Assignments Editor窗口。选择菜单 View Show

10、 All Known Pin Names,此时编辑器将显示所有的输入输出信号,其中“ToJ是信号列,Location列是引脚列,General Function列显示该引脚的通用功能。对于一个输入输出信号,双击对应的“ Location歹U,在弹出的下拉列表框内选择需要绑定的管脚号。完 成所有引脚的绑定,保存修改,此时原理图设计文件将给输入输出端口添加引脚编号。4、布局布线、生成编程文件和时序分析:Analysis and Synthesis和管脚分配完成后,可以点击*进行全编译三、设计工程校验在完成设计输入和编译后,我们可以通过软件来检验设计的逻辑功能和计算设计的内部定时是否符合设计要求。常

11、见的设计工程校验包括功能仿真、定时分析和时序仿真。3.1 建立输入鼓励波形文件.vmf:在做仿真之前,必须要先建立波形鼓励文件,具体步骤如下:1 . 在“File菜单中选择New翻开新建文件对话框,在OtherFiles中选择Vector Waveform File 项后选择OK:2 .编辑器窗口的节点名称栏 Name空白处单击鼠标右键,在该菜单中选择InsertNode orBus项,弹出 Insert Node or Bus 对话框,点击“Node Finder按钮,翻开 “Node Finder 对话框,单击 “List按钮可以在“Nodes Found栏中看到在设计中的所有输入/输出信

12、号,中选中信号时,蓝色高亮,表示被选中。单击“洪钮可将选中的信号移动到“ SelectedNodes区,表示可对这些信号进行观测。点击“OK按钮,回到Insert Node or Bus对话框,再点击该对话框的“OK按钮。3 .从菜单“File中选择“Save,将此波形文件保存为默认名,扩展名“ .vm成示仿真波形鼓励文件。3.2 为输入信号建立输入鼓励波形:在波形文件中添加好输入/输出信号后,就可开始为输入信号建立输入鼓励波形。1. 在“Tool榛单中选择 OptionsW;翻开参数设置对话框,选择Waveform Editor项设置波形仿真器参数。在这个对话框里我们设置“Snap to g

13、rid为不选中,其他为缺省值即可。2 .从菜单“Edit下选择EndTime项,弹出终止时间设定对话框,根据设计需要设置仿真 终止时间。3 .利用波形编辑器工具栏提供的工具为输入信号赋值,工具栏中主要按钮的功能介绍如 下:式放大和缩小工具:利用鼠标左键放大/右键缩小显示仿真波形区域;0全屏显示:全屏显示当前波形编辑器窗口;S 赋值“0彳对某段已选中的波形,赋值 ,0?,即强0;人 赋值“1对某段已选中的波形,赋值 ,1?,即强1;旭时钟赋值:为周期性时钟信号赋值;4 .用鼠标左键单击 “NameK的信号,该信号全部变为黑色,表示该信号被选中。用鼠标 左键单击 又按钮即可将该信号设为“1。设置时

14、钟信号方法:选中信号,单击工具条 中的 港按钮翻开Clock对话框,输入所需的时钟周期,单击“OK关闭此对话框即可生成所需时钟。5 .选择“File中 “Save?盘。到此完成鼓励波形输入。6 .3功能仿真可编程系统的仿真一般分为功能仿真和时序仿真。其中功能仿真,主要是检查逻辑功能是否正确。功能仿真方法如下:1 .在“Tools菜单下选择SimulatorTool 项,翻开 SimulatorTool 对话框。在 SimulatorMode下拉列表框中选择Functional项,在a Simulation input栏中指定波形鼓励文件。单击“Generator Functional Simu

15、lator Netlist按钮,生成功能仿真网表文件。2 .仿真网表生成成功后,点击“Sta侬钮,开始功能仿真。仿真计算完成后,点击“Report按钮,翻开仿真结果波形。3 .观察输出波形,检查是否满足设计要求。3.4时序仿真时序仿真那么是在功能仿真的根底上利用在布局布线中获得的精确延时参数进行的精确仿真,一般时序仿真的结果和实际结果非常的接近,但由于要计算大量的时延信息,仿真速度比拟慢。时序仿真的详细步骤如下:1 . 在 “ Simulator Tool 对话框的“ Simulator Mode 下拉列表框中选择“ Timing 项,在 Simulation input栏中指定波形鼓励文件。2 .点击“Sta侬钮,开始时序仿真。仿真计算完成后,点击“Reportgta,翻开和功能仿真类似仿真结果波形。器件编程器件编程是使用工程处理过程中生成的编程文件对器件进行编程的,在这个过程中可以对器

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 资格认证/考试 > 自考

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号