8421BCD码与余三码的相互转换

上传人:m**** 文档编号:468519669 上传时间:2024-02-21 格式:DOCX 页数:13 大小:501.52KB
返回 下载 相关 举报
8421BCD码与余三码的相互转换_第1页
第1页 / 共13页
8421BCD码与余三码的相互转换_第2页
第2页 / 共13页
8421BCD码与余三码的相互转换_第3页
第3页 / 共13页
8421BCD码与余三码的相互转换_第4页
第4页 / 共13页
8421BCD码与余三码的相互转换_第5页
第5页 / 共13页
点击查看更多>>
资源描述

《8421BCD码与余三码的相互转换》由会员分享,可在线阅读,更多相关《8421BCD码与余三码的相互转换(13页珍藏版)》请在金锄头文库上搜索。

1、数字逻辑电路课程设计报告书题目名称:余三码和8421BCD码相互转化的逻辑电路学院:机电工程学院专业:电子信息工程班级:2016级1班学号:1XXXX姓名:XXX指导教师:XXX2018 年 6 月课程设计报告书1. 掌握组合逻辑电路的基本概念与结构。设计目的2. 认识基本门电路 74LS08、74LS32、74LS04、74LS48、74LS27、74LS86 的各端口,并能够正确的使用。3. 了解8421BCD码转换成余3码及余3码转换成8421BCD码的工作原理, 调试及故障排除方法。4. 掌握芯片间的逻辑关系,准确的进行连线。设计内容:使用“与”门(74LS08)、“或”门(74LS3

2、2)、非门(74LS04)、 七段数码管译码器驱动器(74LS48)、三输入“或”门74LS27、“异或门” 74LS86,设计8421BCD码转换成余3码及余3码转换成8421BCD码。根据题意,要将8421BCD码转换成余3码及余3码转换成8421BCD码 就必须得根据转换的规则来实现。其中8421BCD码转换成余三码时,设计内容及功能说明8421BCD码有00000110七种输入,另外有11011111是3种输入,这三 种输入转换成余三码后用单个数码管无法进行显示;余3码转换成8421BCD 码时,余三码有00111111十三种输入,另外有00000010是三种输入单 一数码管无法显示的

3、,因此我们可以用这些无关小项来化简逻辑函数,从而 得到优化的逻辑电路,正确的完成设计的要求。功能说明:74LS08与门74LS32或门集成电路名称及引脚符号O4XX4学1 2jt7ni 而 ri m 而 r?i rn;A 呻 TA 2K 独:汁心“心74LS04非门74LS27三输入“或”门TS48设计内容及功能说明aiNJTSEG旦.H5),RKI 蚀 zur?i a h Hl 直c M.21d细代 2昭1令t華it管4 2Q.21SL74LS48七段数码管译码器驱动器设 计 步 骤设计过程:1.逻辑假设假设外部的四个输入分别为A,B,C,D; 8个输出分别为O3, 02, 01, 00,

4、Y3, Y2, Yl, Y0。当接高电平时假设为“1”,当接低电平时设为“0”。2写出真值表根据题意,设计的转换器有4个外部输入A, B, C, D和8个外部输出 03, 02, 01, 00, Y3, Y2, Yl, Y0,输入和输出的逻辑关系如下所示。“8421BCD码转余3码”真值表ABCD03020100000000110001010000100101001101100100011101011000011010010111dddd1000dddd1001dddd1010dddd1011dddd1100dddd1101dddd1110dddd1111dddd“余3码转8421BCD码”真

5、值表ABCDY3Y2Y1Y00000dddd设 计 步 骤0001dddd0010dddd001100000100000101010010011000110111010010000101100101101010011110111000110010011101dddd1110dddd1111dddd3写出逻辑函数表达式根据输出和输入的逻辑关系真值表得到如下逻辑函数表达式。“8421BCD码转余3码”:03( A, B, C, D) = E m(5,6,7,8,9,10,ll,12) + 工 d(13,14,15)0 2( A, B, C, D) = E m(1,2,3,4,9,10,11,12)

6、 + 工 d(13,14,15)01( A, B, C, D) = E m(0,3,4,7,8,11,12) + 工 d(13,14,15)00( A, B, C, D) = E m(0,2,4,6,&10,12) + 工 d(13,14,15)“余3码转8421BCD码”:Y 3( A, B, C, D) = E m(11,12,13,14,15) + 工 d(0,1,2)Y 2( A, B, C, D) = E m(7,8,9,10,15) + 工 d(0,1,2)Y 1( A, B, C, D) = E m(5,6,9,10,13,14) + E d(0,1,2)Y 0( A, B, C

7、, D) = E m(4,6,8,10,12,14) + 工 d(0,1,2)通过卡诺图化简逻辑函数A, B,C和D,画出逻辑函数A, B,C和D的 卡诺图如下。“8421BCD码转余3码”设计步骤A1A0根据卡诺图,逻辑函数化简结果如下所示。B1B0设计步骤“8421BCD码转余3码”03( A, B, C, D) = A + BD + BC 0 2( A, B, C, D) = BC + BCD + BD 01( A, B, C, D) = CD + CD 00( A, B, C, D) = D“余3码转8421BCD码”Y 3( A, B, C, D) = AB + ACDY 2( A,

8、 B, C, D) = BC + BCD + BCDY 1( A, B, C, D) = CD + CDY 0( A, B, C, D) = D4. 画出组合逻辑电路设计步骤5. 调试从A,B,C,D端输入8421BCD码得到的O3, 02, 01, OO和输入余3码得到的 Y3, Y2, Yl, Y0如图所示,与预期结果相同。“8421BCD码转余3码”输入输出ABCD030201A000000011000101000010010100110110010001110101100001101001设计步骤LJ7J1L557P:ML阿&UHU11P羽L曲他t丄订血:ML册0“余3码转8421BC

9、D码”仿真电路:T4L5CEA124判與VJA 闪岂 UlCW2?ftLinfiI. I I .1U12AMLSTSD出 LhEIDT*L9MD74LMD该仿真电路可以实现8421码制和余三码的相互转化。FiLflWD 冲寫IS 口:we : 丁炒2E TLSMD:ritwo :_FHWPF删口r-TW- W rW-:U1?fr : isip: ;un?p;: ILIW1:Uc :rcLSU口 . 上_L输入输出ABCDY3Y2Y1Y000110000010000010101001001100011011101001000010110010110101001111011100011001001

10、妝 匚二-1-wav一、遇到的问题和困难1.刚开始在拿到这个题目的时候感觉是一头雾水,后来静下心后认真思 考,结合课本上所学到的知识,利用组合逻辑电路的相关知识,一步步的进 行设计探索,最终完成了该题目。2在逻辑化简方面还存在欠缺,后经过请教老师和同学才完成化简。今 后我要在逻辑化简方面多加练习。二、课程设计心得体会1.经过一段时间的探索学习对于multisim软件有了大概的认识和掌 握,知道了怎样去运行操作该软件,学会了基本的仿真练习。2连接电路过程中出现了不少错误,错接,漏接等,通过多次的查漏补 缺,最终解决了这些问题,成功的仿真完成,开拓了自己的思维,也巩固了 原本一知半解的知识。3.在几个同学同学的商讨下筛选了合适的芯片少走了许多弯路。设 计 小 结4从这次课设中学到了团队的力量很重要,每人出一份力,可以达到事 半功倍的效果,成功的做好一件事。5经过几次电路仿真的的设计,懂得了善于探索,去发掘总会有意想不 到的结果,比如这次的设计,刚开始我们觉得设计有点复杂,当然刚开始我 们设计出来的电路很复杂的,但通过我们一次一次的探索学习,一次比一次 的电路要简单,最后得到了最贱的电路,仿真成功。回顾起此课程设计至今我仍感慨颇多,从理论到实践在这段时间里可以 说得是苦多于甜,但是可以学到很多很多的东西,比如课本的知识,以及软 件的运用,不仅巩固

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 建筑/环境 > 建筑资料

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号