VHDL设计七人表决器

上传人:工**** 文档编号:465841941 上传时间:2023-11-27 格式:DOCX 页数:7 大小:34.61KB
返回 下载 相关 举报
VHDL设计七人表决器_第1页
第1页 / 共7页
VHDL设计七人表决器_第2页
第2页 / 共7页
VHDL设计七人表决器_第3页
第3页 / 共7页
VHDL设计七人表决器_第4页
第4页 / 共7页
VHDL设计七人表决器_第5页
第5页 / 共7页
点击查看更多>>
资源描述

《VHDL设计七人表决器》由会员分享,可在线阅读,更多相关《VHDL设计七人表决器(7页珍藏版)》请在金锄头文库上搜索。

1、FPGA系统设计与开发课程设计报告题目:七人表决器专业:电子信息工程专业学号:1340820410姓名:杨彪扌旨导老师:聂小燕、实验目的1、熟悉VHDL的编程。2、熟悉七人表决器的工作原理。3、进一步了解实验系统的硬件结构二、实验原理所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。七人表决器顾名思义就是由七个人来投票,当同意的票数大于或者等于4时,则认为同意;反之,当否决的票数大于或者等于4时,则认为不同意。实验中用7个拨动开关来表示七个人,分别用7个LED等来反应每个人的决定,当对应的拨动开关输入为1时,表示此人同意,

2、LED丁点亮;否则若拨动开关输入为0;则表示此人反对,LED丁不亮。表决的结果也用一个LED表示,若表决的结果为同意,则LED被点亮;否则,如果表决的结果为反对,则LED不会被点亮。同时,数码管上显示通过的票数。三、实验内容本实验就是利用实验系统中的拨动开关模块和LED模块以及数码管模块来实现一个简单的七人表决器的功能。拨动开关模块中的K1K7表示七个人,当拨动开关输入为1时,表示对应的人投同意票,对应的LED丁亮;否则当拨动开关输入为0时,表示对应的人投反对票,对应的LED丁不亮;LED模块中LED1表示七人表决的结果,当LED1点亮时,表示此行为通过表决;否则当LED1熄灭时,表示此行为未

3、通过表决。同时通过的票数在数码管上显示出来。四、实验步骤1、打开QJARTUSI软件,新建一个工程。2、建完工程之后,再新建一个VHDLFile,打开VHDL编辑器对话框。3、按照实验原理和自己的想法,在VHDL编辑窗口编写VHDL程序。4、编写完VHDL程序后,保存起来,并建立工程。5、对自己编写的VHDL程序进行编译并仿真,对程序的错误进行修改。6编译仿真无误后,依照拨动开关、LED数码管与FPGA勺管脚连接表或参照附录进行管脚分配。表1是示例程序的管脚分配表。分配完成后,再进行全编译一次,以使管脚分配生效。7、下载程序到试验箱验证实验的正确性,观察现象,对错误的地方进行改正。表1程序的管

4、脚分配表端口名使用模块信号对应FPGA管脚说明CLK时钟C13CLR复位P25低电平有效K1拨动开关K1H8七位投票人的表决器K2拨动开关K2J8K3拨动开关K3J9K4拨动开关K4A4K5拨动开关K5B4K6拨动开关K6A5K7拨动开关K7B5ResultLED模块LED1G13表决结果亮为通过LEDOLED模块LED2G15每个人投票的结果LED1LED模块LED3G14LED2LED模块LED4H12LED3LED模块LED5H11LED4LED模块LED6J10LED5LED模块LED7L9LED6LED模块LED8H10LEDAGO数码管模块A段F13表决通过的票数LEDAG1数码管

5、模块B段F14LEDAG2数码管模块C段F15LEDAG3数码管模块D段E15LEDAG4数码管模块E段F16LEDAG5数码管模块F段F17LEDAG6数码管模块G段E18五、七人表决器VHDL程序LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYBJQISPORT(CLK,CLR:INSTD_LOGIC;-CLK为系统时钟,CLR为复位按K:INSTD_LOGIC_VECTOR(6DOWNTO0);-拨动开关输入表决Result:OUTSTD_LOGIC;-显示表决是否通过LEDAG,LE

6、D:OUTSTD_LOGIC_VECTOR(OWNTO);-LEDAG为七段数码管,用来显示同意的人数;LED为七个指示灯,用来指示投票人的个人投票结果。ENDENTITYBJQ;ARCHITECTUREoneOFBJQISBEGINPROCESS(K)VARIABLEshu:INTEGER;-定义变量shu来统计同意的个数BEGINIF(CLR=1)THEN-不复位,即正常工作LEDResult=0;LEDAG=0111111;LEDResult=0;LEDAG=0000110;LEDResult=0;LEDAG=1011011;LEDResult=0;LEDAG=1001111;LEDRe

7、sult=1;LEDAG=1100110;LEDResult=1;LEDAG=1101101;LEDResult=1;LEDAG=1111101;LEDResult=1;LEDAG=0100111;LEDResult=0;LEDAG=0000000;LED=K;ENDCASE;ENDIF;ELSE启动复位功能,同时复位指示灯和数码管Result=0;LEDAG=0111111;LED:?aiDIt血.CIO).cmst.nt胭测卫时01预皿山别肌】血丽蹶IKX:问劭曲L.丽顾別LI汕师i顽巾1【1WX爼L加狮Of网刚I1皿麒1叽讯:厠:,恥山I:;也;:WlCKOUD牺山1啊01颐LamLbu

8、Boi砸】丽丽可(ami页IMBB:u七、管脚分配表NoceNanDrecbonLocationI/OBankV5FGroup1*CLKinputPlbljCU3HJ_NU2ACLRInputPnM_P2GB_N03诚电inputFJMB53&3N14嗣InputPTFJJBl53BlIM1S0InputPIFJB4J日56f3TnpjtFW斗3B1_N17A宓inputPUMJ95B3.N18tllIncutPIMJ82&2N09A.EDAtLSJOutputI-1M_FL7斗B4_N0均.EDAG4OutputPUM_FL64B4.MJZQBD阿列ouiputFUM_EL5耳B4JN121-_-ZLFTlAfipOutputPIWJFlS4B4JM1.2ZLEDA1outputPUMJ=L4耳B4_N123lFTiMnOutputPWFIS4B4mN1240ResJ:OuxjtFUMJSL34B4JM1八、实验结果与现象当设计文件加载到试验箱后,拨动实验系统中的拨动开关模块的K0-K7七位拨动开关,如果拨动开关的值为“1”即拨动开关的开关置于上端,表示此人通过表决,对应的LED(LED2到LED8显示每个人投票的结果)等被点亮,反之不然。当LED被点亮的个数大于或等于四时LED莫块的LED1被点亮,否则LED1不被点亮。同时数码管上显示通过表决的人数。

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 建筑/环境 > 建筑资料

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号