HDB3数字编码器毕业设计论文(含程序、仿真)

上传人:大米 文档编号:459764434 上传时间:2023-10-13 格式:DOC 页数:88 大小:2.33MB
返回 下载 相关 举报
HDB3数字编码器毕业设计论文(含程序、仿真)_第1页
第1页 / 共88页
HDB3数字编码器毕业设计论文(含程序、仿真)_第2页
第2页 / 共88页
HDB3数字编码器毕业设计论文(含程序、仿真)_第3页
第3页 / 共88页
HDB3数字编码器毕业设计论文(含程序、仿真)_第4页
第4页 / 共88页
HDB3数字编码器毕业设计论文(含程序、仿真)_第5页
第5页 / 共88页
点击查看更多>>
资源描述

《HDB3数字编码器毕业设计论文(含程序、仿真)》由会员分享,可在线阅读,更多相关《HDB3数字编码器毕业设计论文(含程序、仿真)(88页珍藏版)》请在金锄头文库上搜索。

1、目录设计总说明IIntroductionIII1 绪论11.1 引言11.2 课题研究背景11.3 本研究的主要内容11.4 本研究的目的意义21.5 论文组织22 EDA概述32.1 VHDL硬件描述语言32.1.1VHDL语言的发展32.1.2VHDL硬件描述语言的特点32.2 Altera公司Quartus介绍42.2.1Quartus简介42.2.2Quartus软件的设计流程42.2.3Quartus软件的使用53 编码技术123.1 通信系统123.2 编码123.2.1信源编码123.2.2信道编码123.3.选择码型和常用码型133.3.1选择码型的考虑133.3.2常用码型及

2、其特点133.4 HDB3码简介143.4.1HDB3码编码规则154 基带信号的编码建模与设计164.1 HDB3编码器的VHDL建模与程序设计(1)164.1.1HDB3的VHDL建模思想164.1.2基于VHDL硬件描述语言的建模及程序设计164.1.3编码器模块的总程序244.1.4编码器模块的时序仿真284.2 HDB3编码器的VHDL建模与程序设计(2)294.2.1设计模型294.2.2HDB3编码整体模块的总程序及波形仿真375 数字复接技术及其建模与设计445.1引言445.2 数字复接原理445.2.1数字复接的基本概念445.2.2数字复接的方法及方式455.3同步复接器

3、的VHDL建模和设计495.3.1以四路同步复接器为例的原理框图模型495.3.2四路同步复接器的VHDL建模515.4同步复接器的VHDL总程序635.4.1四路同步复接器系统的模块链接程序636 HDB3编码器的硬件测试676.1产品简介676.1.1应用范围及特点676.1.2系统结构及规格676.2硬件说明686.2.1输入单元686.2.2输出单元706.2.3编程硬件驱动安装716.3配置文件下载726.3.1打开编辑器窗口和配置文件726.3.2设置编程器726.3.3使用JTAG下载口737 结 论75参考文献76致谢77华北科技学院毕业设计(论文)HDB3数字编码器的设计设计

4、总说明:随着社会生产力的发展,各种电子新产品的开发速度越来越快。现代计算机技术和微电子技术的进一步发展和结合使得集成电路的设计出现了两个分支。一个是传统的更高集成度的集成电路的进一步研究;另一个是利用高层次VHDL/Verilog HDL等硬件描述语言对新型器件CPLD/FPGA进行专门设计,使之成为专用集成电路。EDA技术以EDA软件工具为开发环境,采用硬件描述语言(HDL),以可编程逻辑器件为实验载体,实现源代码编程和仿真功能。VHDL作为一种标准化的硬件描述语言主要用于描述数字系统的结构、行为、功能和接口。与其它的HDL相比,VHDL具有更强的行为描述能力,从而决定了它成为系统设计领域最

5、佳的硬件描述语言。就目前流行的EDA工具和VHDL综合器而言,将基于抽象行为描述风格的VHDL程序综合为具体的FPGA和CPLD等目标器件的网表文件己不成问题。VHDL和可编程逻辑器件的结合作为一种强有力的设计方式,将为设计者的产品上市带来创纪录的速度。现代通信技术的发展随着VHDL等设计语言的发展也进入了一个新的阶段。长期以来,人类进行信息交互的基本方式不外乎语言、文字和图像。随着数字技术的发展,三大信息网:电话、电视、和因特网在数字通信的平台上融为一体的趋势日益加速。一方面是通信技术一日千里的发展,技术更新的周期越来越短;另一方面是人们对信息数量和质量的需求不断增长,如何更加有效、更加可靠

6、、更加安全的传输信息,成为人们非常关注的问题。数字通信具有许多优良的特性,数字处理的灵活性使得数字传输系统中传输的数字信息既可以来自计算机,电传机等数据终端的各种数字代码,也可以是来自模拟信号经过数字化处理后的脉冲编码(PCM)信号等。在原理上,数字信息可以直接用数字代码序列表示和传输,但是在实际的传输中,视系统的要求和信道的情况,一般需要进行不同形式的编码,并且选用一组取值有限的离散波形表示,这些取值离散的波形可以是数字带通信号,也可以是数字基带信号。数字基带信号的传输是数字通信系统的重要组成部分之一。在数字通信中,一般由信源发出的数字基带信号含有丰富的低频分量,甚至直流分量,这些信号往往不

7、宜直接用于传输,易产生码间干扰进而直接影响传输的可靠性,为了使基带信号能适合在基带信道中传输,通常需要经过基带信号变换。而为了用更短的代码表达同样多的信息,人们提出了压缩代码长度地方法,并发明了多种压缩方法和实施方案,统称为信源编码。为了及时发现并纠正信息传输中出现的错误,人们采用了各种检错和纠错技术,由此发展起来了信道编码技术,它使通信更加可靠。在编码过程中,不同码型有不同的特点和不同的用途。例如AMI码的传号交替反转,这种码型无直流成分和很小的低频成分,利于在一般的基带信道中传输,但它可能出现四连零现象,不利于接收端的定时信号提取。为了保持AMI码的优点而克服其缺点提出了HDB3码(三阶高

8、密度双极性码)。HDB3码具有编码规则简单,无直流,低频成份少,可打破长连“0”和对定时信号的恢复十分有利等优点,因此被CCITT 协会推荐为常用的基带传输码型之一。综合上述情况,本文提出了基于VHDL语言的HDB3编码器的设计方案。本论文概括了HDB3数字编码器的研究背景、意义,同时对EDA技术和编码技术作了简要的说明。设计方面包括规划基于VHDL的HDB3编码器设计的总体方案,合理利用各种软硬件资源;基于VHDL的HDB3编码器的软件实现。其中HDB3码的编码程序设计是在Quartus软件环境下进行的,首先在Quartus软件环境下建立一个工程,工程名和程序的实体名一致,并将其作为该工程的

9、设计文件。然后在VHDL文本编辑窗中输入设计的VHDL源程序,进行编译。程序编译成功后要进行时序仿真,这一部分同样是在Quartus软件环境下完成的,因为实际电路总是存在一定的时钟毛刺,即会产生不可避免的时延,所以仿真图有时会产生失真; 经过编码的基带信号,为了扩大传输容量和提高传输速率在本次设计中又简要的介绍了数字复接技术,设计了数字复接器。在论文的最后,为了保证该设计的可靠性,需要对HDB3数字编码器进行硬件测试,在QuartusII软件中完成HDB3码的编码、仿真等步骤后,通过下载电缆将程序下载到特定的FPGA芯片上,用逻辑分析仪进行分析,验证该研究的可行性。以上是本人对这次设计的简要说

10、明,从设计背景、意义、内容等方面作了简要的介绍。具体说明请见论文正文。关键词:VHDL;QuartusII;HDB3编码;数字复接The Design of HDB3 Digital EncoderIntroduction:With the development of social productive forces, a variety of electronic development of new products is becoming more faster.The further development and combination of modern computer and

11、 microelectronics technology make the design of integrated circuits produces two branches. One is the further study about the traditional and higher integrated circuit; another is the use of high-level VHDL / Verilog HDL hardware description language such as new types of devices CPLD / FPGA to carry

12、 out specially designed to make it becomes specific integrated circuitEDA technology take the EDA software as tools for the development of the environment,the use of hardware description language (HDL),programmable logic devices in experimental carrier,the realization of the source code programming

13、and simulation.The VHDL as a standardized hardware description language used to describe the structure of digital systems,behavior, function and interface.Compared with other HDL,VHDL has a stronger ability to describe the behavior,thus it was determined to be the best hardware description language

14、in the areas of system designation .On the current popular EDA tools and VHDL synthesizer.Behavior will be described in an abstract style based on the VHDL program integrated into specific goals,such as FPGA and CPLD device netlist file is not a problem.VHDL and programmable logic device as a powerf

15、ul combination of design,designers will bring to market a record paceThe development of modern communication technologies with the VHDL language development has entered a new stage.Long period of time,humans a basic form of information exchange only language, text and images.With the development of digital technology,the three major information networks:telephone,television,and Internet communications.In the digital communications platform to accelerate the growing trend of integration.On the one han

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 大杂烩/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号