EDA实验交通灯设计报告

上传人:人*** 文档编号:458517970 上传时间:2023-04-11 格式:DOCX 页数:15 大小:543.63KB
返回 下载 相关 举报
EDA实验交通灯设计报告_第1页
第1页 / 共15页
EDA实验交通灯设计报告_第2页
第2页 / 共15页
EDA实验交通灯设计报告_第3页
第3页 / 共15页
EDA实验交通灯设计报告_第4页
第4页 / 共15页
EDA实验交通灯设计报告_第5页
第5页 / 共15页
点击查看更多>>
资源描述

《EDA实验交通灯设计报告》由会员分享,可在线阅读,更多相关《EDA实验交通灯设计报告(15页珍藏版)》请在金锄头文库上搜索。

1、EDA原理及应用实验报告题目:交通灯控制器专业:电子信息工程班级:姓名:学号:一、设计题目:交通灯控制器二、设计目标:1、设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黃三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。2、红、绿、黄发光二极管作信号灯。3、主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。4、主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、25秒计时、显示电路。5、在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黃灯作为过渡,使行驶中的车辆有时间

2、停到禁行线外,设立5秒计时、显示电路。三、设计原理:(含系统总的原理图)山两个分频器模块,三个计数器模块及它的选择器,一个扫描数码管模块,和一个红绿灯控制模块连接而成。RTL状态图设计内容:(含状态转换图.软件流程图、说明文字等,每单独模块的图标和VHDL程序;最后为总体程序框图)分频器1LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL:ENTITYDVFISPORT(CLK:INSTD_L0GIC;-D:INSTD_LOGIC_VECTOR(3D0WNT00);FOUT:OUTSTD_LOGIC);EN

3、D;ARCHITECTUREoneOFDVFISSIGNALFULL:STD_LOGIC;BEGINP_REG:PROCESS(CLK)VARIABLECNT&INTEGERRANGE48000000DOWNTO0;BEGINIFCLKEVENTANDCLK-PTHENIFCNT8=24000000THENCNT&=0;FULLv=T;ELSECNT&=CNT8+1;FULLv=O;ENDIF;ENDIF;ENDPROCESSP_REG;P_DIV:PROCESS(FULL)VARIABLECNT2:STD_L0GIC;BEGINIFFULLEVENTANDFULL=TTHENCNT2:=NO

4、TCNT2;IFCNT2=TTHENFOUTv=T;ELSEFOUTv-O:ENDIF:ENDIF:ENDPROCESSP_DIV;END;rorjThL41X2I-o-1=ET-o-o-0说明:采用的是48M时钟输入,作为后而的时钟信号。分频器2LIBRARYIEEE:USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL:ENTITYDVF2ISPORT(CLK:INSTD_L0GIC;-D:INSTD_LOGIC_VECTOR(3DOWNTO0);FOUT:OUTSTD_LOGIC);END;ARCHITECTUREoneOFD

5、VF2ISSIGNALFULL:STD_LOGIC;BEGINP_REG:PROCESS(CLK)VARIABLECNT&INTEGERRANGE48000000DOWNTO0;BEGINIFCLKEVENTANDCLK-THENIFCNT8=2OOOOTHENCNT&=0;FULLv=T;ELSECNT&=CNT8+1;FULLv-0:ENDIF:ENDIF:ENDPROCESSP_REG;P_DIV:PROCESS(FULL)VARIABLECNT2:STD_LOGIC:BEGINIFFULUEVENTANDFULL=TTHENCNT2:=NOTCNT2;IFCNT2=TTHENFOUTv

6、=T;ELSEFOUTv-0:ENDIF;ENDIF;ENDPROCESSP_DIV:END;说明:改变了分频器的大小,这个频率很高,是给扫描数码管模块使用的。计数器1CLKA3.O-CLR2.0JU2.O.:cntn.:insMi.!LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYcntnISPORT(CLK:INSTD_L0GIC;CLR:INSTD_LOGIC_vector(2downto0);U:INSTD_LOGIC_VECTOR(2DOWNTO0);A.B:OUTSTDLOGIC

7、VECTOR(3DOWNTO0);END:ARCHITECTUREbhvOFcntnISSIGNALJ,K.L:STD_LOGIC;SIGNALQ2Q1:STD_LOGIC_VECTOR(3DOWNTO0);BEGINal:PROCESS(CLK)BEGINIFCLROOr*THENQl=H0100H;ELSIFU=n010MTHENIFCLKEVENTANDCLK=TTHENQ1=Q1-1;IFQ1=”0000”THENQ1=n1001”;Jv-1:ELSEJ=*0:ENDIF:ENDIF;A=Q1;ENDIF;ENDPROCESS;a2:PR0CESS(J)BEGINIFCLR=H00rT

8、HENQ2=H0010u;ELSIFU=H010nTHENIFJEVENTANDJ-VTHENQ2=Q2-1;IFQ2=H0000HTHENQ2=H0010H;K=,0,:ELSEKv二T;ENDIF;ENDIF;B=Q2;ENDIF;ENDPROCESS;END;说明作为支干道通行时间,倒计时25s。计数器2cntmCLKCLR2.QjU2.0jLIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL:ENTITYcntmISPORT(CLK:INSTD_L0GIC;CLR:INSTD_LOGIC_vector

9、(2downto0);U:INSTD_LOGIC_VECTOR(2DOWNTO0);C.D:OUTSTD丄OGIC_VECTOR(3DOWNTO0);END;ARCHITECTUREbhvOFcntmISSIGNALJKL:STD_LOGIC;SIGNALQ2.Q1:STD_LOGIC_VECTOR(3DOWNTO0);BEGINal:PROCESS(CLK)BEGINIFCLR=M010HTHENQI=H0101”;ELSIFU=M00rrTHENIFCLK*EVENTANDCLK-THENQ1=Q1-1;IFQ1=”0000”THENQI=H1001”;Jv=T;ELSEJ=O*;ENDI

10、F;ENDIF;C=Q1;ENDIF:ENDPROCESS;a2:PR0CESS(J)BEGINIFCLR=M010HTHENQ2=H0000H;ELSIFU=”001THENIFJEVENTANDJ-THENQ2=Q2-1;IFQ2=H0000HTHENQ2=M0010H;K=,0,;ELSEKv二T;ENDIF;ENDIF;D=Q2;ENDIF;ENDPROCESS:END;说明:要亮5秒黄灯作为过渡计数器3CLKCLR2.0JU2.O1inst7LIBRARYIEEE:USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL:EN

11、TITYcntlISPORT(CLK:INSTD_L0GIC;CLR:INSTD_LOGIC_vector(2downto0);U:INSTD_LOGIC_VECTOR(2DOWNTO0);E.F:OUTSTD_LOGIC_VECTOR(3DOWNTO0);END;ARCHITECTUREbhvOFcntlISSIGNALJKL:STD_LOGIC;SIGNALQ2.Q1:STD_LOGIC_VECTOR(3DOWNTO0);BEGINal:PROCESS(CLK)BEGINIFCLR=H001HTHENQl=H0100H;ELSIFU=HOOOUTHENIFCLKEVENTANDCLK=TT

12、HENQ1=Q1-1;IFQl=M0000HTHENQIv=T001”;Jv二T;ELSEJ=f0:ENDIF:ENDIF:E=Q1;ENDIF;ENDPROCESS;a2:PROCESS(J)BEGINIFCLR=H00r*THENQ2=H0100H;ELSIFU=M000uTHENIFJEVENTANDJ-VTHENQ2=Q2-1;IFQ2=H0000HTHENQ2=H0010H:K=,0,;ELSEKv二T;ENDIF;ENDIF;FQl=E:Q2Ql=C:Q2Ql=A:Q2NULL:ENDCASE;ENDPROCESS;END;frfr&#-fr=二二二二二:二1.:-M一uLII0Z

13、说明:不同的状态数码管分别显示45s,25s,5s倒计时。红绿灯控制模块libraryieee;useieee.stdJogic_1164.all;USEIEEE.STD_LOGIC_UNSIGNED.ALL:entitydengisgeneric(a:integer:=45;b:integer:=5;c:integer:=25);port(clkjst:instdogic;R1,G1.Y1R2,G2,Y2:outstdjogic;U:outsldogic_vector(2downto0);end;architectureoneofdengistypefsm_stis(QA.QB.QUQD);signalcurrent_state、next_state:fsm_st;SIGNALq:integerrange0TO200;begin-process(clk)-begin-ifelk=Tandclkeventthen-ifq=29thenq=O;e!seq=q+l;endif;endif;-end

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 建筑/环境 > 施工组织

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号