基于FPGA信号发生器论文

上传人:汽*** 文档编号:458206235 上传时间:2023-02-01 格式:DOC 页数:37 大小:3.14MB
返回 下载 相关 举报
基于FPGA信号发生器论文_第1页
第1页 / 共37页
基于FPGA信号发生器论文_第2页
第2页 / 共37页
基于FPGA信号发生器论文_第3页
第3页 / 共37页
基于FPGA信号发生器论文_第4页
第4页 / 共37页
基于FPGA信号发生器论文_第5页
第5页 / 共37页
点击查看更多>>
资源描述

《基于FPGA信号发生器论文》由会员分享,可在线阅读,更多相关《基于FPGA信号发生器论文(37页珍藏版)》请在金锄头文库上搜索。

1、基于FPGA的信号发生器设计论文基于FPGA信号发生器论文目 录摘 要21 前 言32 设计方案52.1 总体设计思路52.2 方案论证62.2.1方案一62.2.2方案二62.2.3方案三62.3 方案确定73 软件设计83.1 波形产生模块83.1.1正弦波83.1.2 矩形波103.1.3 三角波103.1.4 基波113.1.5谐波的产生113.1.6 波形模块图113.2 频率控制模块113.3 选择波形模块113.4 分频器模块133.4.1 设计思路133.4.2 VHDL实现133.4.3 分频值计算144 硬件电路设计154.1 硬件设计注意事项154.2滤波电路154.3

2、幅度控制电路164.4 FPGA器件引脚分配164.5 硬件电路实现175 调 试185.1设计及仿真调试使用设备185.2 调试方法185.2.1 硬件调试185.2.2 软件调试185.2.3 综合调试185.3 调试结果195.3.1 软件仿真结果及分析195.3.2 综合调试结果216 结 论24致谢辞25参考文献26附 录27基于FPGA的信号发生器摘 要本次设计课题为应用VHDL语言及MAX+PLUS II软件提供的原理图输入设计功能,结合电子线路的设计加以完成一个可应用于数字系统开发或实验时做输入脉冲信号或基准脉冲信号用的信号发生器,它具结构紧凑,性能稳定,设计结构灵活,方便进行

3、多功能组合的特点,经济实用,成本低廉。具有产生三种基本波形脉冲信号(正弦波、矩形波和三角波),以及三次(及三次以下)谐波与基波的线性组合脉冲波形输出,且单脉冲输出脉宽及连续脉冲输出频率可调,范围从100HZ到1kHZ,步进为100HZ;幅度可调,从0到5伏,步进为0.1V。关键词:信号发生器, FPGA,EDA,VHDL语言。1 前 言随着我国的经济日益增长,社会对电子产品的需求量也就越来越大,目前,我国的电子产品市场正在迅速的壮大,市场前景广阔。FPGA(Field Programmable Gate Array,现场可编程门阵列)在现代数字电路设计中发挥着越来越重要的作用。FPGA/CPL

4、D(Complex Programmable Logic Device)所具有的静态可重复编程和动态在系统重构的特性,使得硬件的功能可以像软件一样通过编程来修改,这样就极大地提高了电子系统设计的灵活性和通用性,缩短了产品的上市时间并降低可电子系统的开发成本,且可以毫不夸张地讲,FPGA/CPLD能完成任何数字器件的功能,从简单的74电路到高性能的CPU。它的影响毫不亚于20世纪70年代单片机的发明和使用。现在随着电子技术的发展,产品的技术含量越来越高,使得芯片的复杂程度越来越高,人们对数万门乃至数百万门设计的需求也越来越多,特别是专用集成电路(ASIC)设计技术的日趋进步和完善,推动了数字系统

5、设计的迅速发展。仅靠原理图输入方式已不能满足要求,采用硬件描述语言VHDL的设计方式应运而生,解决了传统用电路原理图设计大系统工程时的诸多不便,成为电子电路设计人员的最得力助手。设计工作从行为、功能级开始,并向着设计的高层次发展。这样就出现了第三代EDA系统,其特点是高层次设计的自动化。 第三代EDA系统中除了引入硬件描述语言,还引入了行为综合工具和逻辑综合工具,采用较高的抽象层次进行设计,并按层次式方法进行管理,可大大提高处理复杂设计的能力,缩短设计周期,综合优化工具的采用使芯片的品质如面积、速度和功耗等获得了优化,因而第三代EDA系统迅速得到了推广应用。目前,最通用的硬件描述语言有VHDL

6、和VerilogHDL两种,现在大多设计者都使用93年版标准的VHDL,并且通过了IEEE认定,成为世界范围内通用的数字系统设计标准。VHDL是一种新兴的程序设计语言,使用VHDL进行设计其性能总是比常规使用CPU或者MCU的程序设计语言在性能上要高好几个数量级。这就是说,在传统上使用软件语言的地方,VHDL语言作为一种新的实现方式会应用得越来越广泛。本课题设计是采用美国Altera公司的FLEX10K10器件,使用的是Altera公司的EDA软件平台Maxplus II可编程逻辑器件开发软件。基于EDA工具的FPGA/CPLD的开发流程CPLD/FPGA器件的设计一般可分为设计输入、设计实现

7、和编程三个设计步骤:1.设计输入方式主要由文本输入和图形输入两种,可根据需要选择,也可混合输入。EDA工具会自动检查语法;2.设计实现阶段EDA工具对设计文件进行编译,进行逻辑综合、优化,并针对器件进行映射、布局、布线,产生相应的适配文件;3.编程阶段EDA软件将适配文件配置到相应的CPLDFPGA器件中,使其能够实现预期的功能。信号发生器是数字设备运行工作中必不可少的一部分,没有良好的脉冲信号源,最终就会导致系统不能够正常工作,更不必谈什么实现其它功能了。不论是处于开发还是故障检修阶段,输出标准且性能优秀的信号发生器总是能够带来工作效率的大幅提升,使新产品有一个标准的信号源、损坏的系统得到正

8、确校验,不会被一些故障所蒙蔽。在传统的信号发生器中,大都使用分立元件,而且体积庞大携带不便,且大部分只能输出一种脉冲信号波形。在设计领域,不管采用什么技术生产,生产的产品用在哪里,其产品设计的宗旨都是离不开以下几点:实用性高、成本低、可升级、功能完善可扩展等!使用专用的数字电路设计的信号发生器,设备成本高、使用复杂。基于以上考虑,在中小型数字电路的设计和测试中,迫切需要设计一种小型易用成本低廉的信号发生器。此课题的设计以小型经济,集成度高,性能稳定,使用方便为指导,在功能上力求完善实用,同时兼顾商业价值与应用价值的体现。- 1 -2 设计方案2.1 总体设计思路1 设计步骤此设计将按模块式实现

9、,据任务书要求,设计总共分四大步份完成:(1)产生波形(三种波形:方波、三角波和矩形波)信号;(2)波形组合;(3)频率控制;(4)幅度控制。2 设计思想利用VHDL编程,依据基本数字电路模块原理进行整合。系统各部分所需工作时钟信号由输入系统时钟信号经分频得到,系统时钟输入端应满足输入脉冲信号的要求。组合波形信号经显示模块输出。具备幅度和频率可调功能,幅度可通过电位器调整,频率控制模块则是一个简易的计数器,控制步径为100HZ的可调频率,最终送至脉冲发生模块输出脉冲信号,达到设计课题所要求的输出波形频率可调及幅度可调功能。幅度可调功能由于比较简单,可以在FPGA外部利用硬件电路实现。总体设计框

10、图如下图1所示:控制电路正弦波、方波、三角波信号产生模块频率控制模块/计数器模块输出电路波形组合选择模块滤波电路幅度控制/电位器100HZ1KHZ1KHZFPGA图1 总体设计框图2.2 方案论证2.2.1方案一采用DDS(直接数字频率合成器)来设计,设计总体框图如图2所示。在设计界里众所周知,DDS器件采用高速数字电路和高速D/A转换技术,具有频率转换时间短、频率分辨率高、频率稳定度高、输出信号频率和相位可快速程控切换等优点,所以,我们可以利用DDS具有很好的相位控制和幅度控制功能,另外其数据采样功能也是极具精确和完善的,它可以产生较为精确的任何有规则波形信号,可以实现对信号进行全数字式调制

11、。用FPGA和DDS实现信号调制,既克服了传统的方法实现带来的缺点,若采用它来编程设计,必定会事半功倍,且使设计趋于理想状态。但鉴于DDS的占用RAM空间较大,我们设计是采用FPGA10K10器件,总共只有一万门的逻辑门数量,而整个DDS设计下来,大概最少会占用3-4万门的数量,所以在性价比方面不合理,这样也使得我们的设计会有些不切实际。相位累加器低通滤波D/A变换 频率控制字 信号输出 ROM 时钟 图2 DDS与FPGA总体设计图 2.2.2方案二采用震荡器频率合成方案。具体方案如下:首先通过频率合成技术产生所需要频率的方波,通过积分电路就可以得到同频率的三角波,再经过滤波器就可以得到正弦

12、波。其优点是工作频率可望做得很高,也可以达到很高的频率分辨率;缺点是使用的滤波器要求通带可变,实现很难,高低频率比不可能做得很高。2.2.3方案三采用VHDL语言来编程,然后下载文件到FPGA来实现。VHDL语言是电子设计领域的主流硬件描述语言,具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大降低了硬件设计任务,提高了设计效率和可靠性,要比模拟电路快得多。该方案是利用FPGA具有的静态可重复编程和动态在系统重构的特性,使得硬件的功能可以像软件一样通过编程来修改,极大地提高了电子系统设计的灵活性和通用性,而且大大缩短了系统的开发周期。2.3 方案确定 由上述三个方案对

13、比,采用第三种方案:通过FPGA软件扫描方式将波形数据读出传输给DAC0832产生波形输出。这种方法在软、硬件电路设计上都简单,且与我们的设计思路紧密结合。由于幅度控制部分在设计需要用到数字电子,这样有要经过D/A转换器再输出,必将占用大量资源,造成不必要的开销。鉴于有设计经验的同学和老师的建议,采用一个电位器代替,虽然精确度不够,但是也弥补了性价比方面的不足。波形组合如果采用分开式模块实现,也必将导致占用大量的资源,而且模块设计复杂度提高,只要采用重复调用一个模块的设计方法,既可以降低资源的占用率,也使得设计更加灵活且有针对性。此信号发生器的特点及功能集成度高,因采取整体模块式设计,在此也考

14、虑到实际应用中,万一FPGA的逻辑门数量不够,特准备了一套备用方案。备用方案:将波形数据存放在6116RAM中,6116的存储容量大,且可重复使用,虽用单片机在速度方面远不及FPGA,但是这样是在出现上述状况后的最佳补偿方式。综合以上涉及方面的分析,因此本次课题采用此方案进行设计。3 软件设计3.1 波形产生模块本设计用VHDL语言根据傅立叶函数采集点进行扫描,分别产生正弦波、三角波和矩形波。以下介绍各种常用周期信号的傅立叶函数展开式。3.1.1正弦波1设计思想 正弦波发生分为两个步骤,即正弦波幅值采样存储和正弦波波形的还原输出。幅值采样是将一个周期正弦波进行64等分,如图3所示,将64个采样点进行量化处理,量化值=255*sin360/64(V),将64点量化值存入存储器。正弦波形的产生是通过循环反复将存储器中的64点采样值通过DAC0832进行还原输出,得到幅值正比于64点采样值的正弦波。 f(t)t0T 图4 方波采样图图3 正弦波采样图 2 VHDL实现1正弦信号波形数据文件建立正弦波波形数据由64个点构成,此数据经DAC0832,可在示波器上观察到正弦波形。源程序如下程序1所示: 程序1WIDTH=8;

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 大杂烩/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号