可编程实验报告

上传人:大米 文档编号:457749098 上传时间:2023-09-12 格式:DOC 页数:7 大小:36.50KB
返回 下载 相关 举报
可编程实验报告_第1页
第1页 / 共7页
可编程实验报告_第2页
第2页 / 共7页
可编程实验报告_第3页
第3页 / 共7页
可编程实验报告_第4页
第4页 / 共7页
可编程实验报告_第5页
第5页 / 共7页
点击查看更多>>
资源描述

《可编程实验报告》由会员分享,可在线阅读,更多相关《可编程实验报告(7页珍藏版)》请在金锄头文库上搜索。

1、广东水利电力技术学院实验报告课 程名称 可编程逻辑器件实验项目名称8-3优先编码器学生班级12电子2班学生姓名 冯耿斌同组学生姓名郑超、黄碧霞2013 年 03-月 26 日刖言课堂上,老师教我们实验的步骤,但有关实验的课程,理论课固然要有一定的基础,动手去实验它,亲手把那个看起来颇为复杂的东西给弄个明白,才能更加深刻的将知识印入脑中, 有利加强学生的动手能力,同时能促进同组人的分工更为合理和团结精神的培养。目录、实验的目的和要求、实验方案设计及其原理三、硬件要求四、设计步骤五、源程序和下载结果六、实验结果分析七、实验收获和体验实验的目的和要求进一步熟悉EDA的VHDL的程序设计方法,熟练所学

2、课程一些基 本常用的软件,如此次的 Quartus II ,实操该软件的基本操作,学 习掌握VHDL的描述方法,进一步掌握应用 EDA常用工具进行组合逻 辑电路的设计、分析、仿真等技巧。复习优先编码器的原理,学习编 码器的设计实现方法,深入了解 8-3优先编码器的特性等。实验方案设计及其原理本实验根据真值表用if-then结构实现8-3优先编码器输入输出17 16 15 14 13 12 11 10Y2 Y1 Y01 X X X X X X X1 1 101 X X X X X X1 1 0001 X X X X X1 0 10001 X X X X1 0 000001 X X X0 1 10

3、00001 X X0 1 00000001 X0 0 10 0 0 0 0 0 0 10 0 0对照真值表,列出不同的逻辑状态,分情况依次输出与输入的对应关系。优先编码器允许多个输入信号同时有效,当同时有几个输入有效时, 将输出优先级高的那个输入所对应的二进制编码。三、硬件要求主芯片EPM240T100C58个开关、3个LED灯。四、设计步骤1按照Quartus II的适用步骤,参考8-3编码器的相关资料,利用Quartus II完成8-3编码器的文本输入和仿真等步骤,给出VHDL 程序及仿真波形。2下载到下载板进行验证,记录管脚设置。五、源程序和下载结果源程序:library ieee;en

4、 tity yxbia nma isport(i:i n std_logic_vector(7 dow nto 0);y:out std_logic_vector(2 dow nto 0);end yxbia nma;architecture one of yxbia nma isbeg inprocess(i)beg inif i(7)=1 then y=111;elsif i(6)=1 then yv二110;elsif i(5)=1 then y=101;elsif i(4)=1 then y=100;elsif i(3)=1 then y=011;elsif i(2)=1 then y

5、=010;elsif i(1)=1 then y=001;elsif i(0)=1 then y=000;end if;end process;end one;结果:8个输入,3个输出,所有输入信号按优先顺序排队,实验中I7的优先级最高,16次之,依此类推,I0最低。六、实验结果分析实验的开始,总是有点小问题,因为编写的程序有一点小小的 不同,该程序就无法执行,另外,下载板的数据连接线也有些问题, 无法将编成的程序下载进下载板。一直以为是自己编写的程序有问 题,于是纠结几节课,颇为落后他人。1710的输入段用开关控制其对应的二进制,是1或者0,当I7为1的时候,无论其他的七个输入端是什么状态,

6、显示数码管的由 I7决定。当17=0时,而此时I6为1的时候,无论1510的输入是什么状态,都改变不了此时的显示数码管状态,依此下去,按优先级的高低进行着八、实验收获和体验能够从多次失败的结果总结出经验, 编程的时候应该细心谨慎,很多 课堂上听不懂的东西,通过自己动手,可以有自己理清思路,一点点 的将不懂得的知识补全。同时,团队合作是个必不可少的重要因素, 不仅将来在社会上发挥着很大作用, 还能通过分工合作,调动组员的 积极性,相互讨论那些出错的地方应该怎样去做。 更加熟悉的对其软 件的操作,减少一些细节的出错率,此次实验,由于下载的数据线存 在一些问题导致下载不成功,能让我们知道硬件本身的出错地方有哪 些,更好的学习电子设计自动化这门科目。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 活动策划

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号