基于VHDL的数字电压表设计学士学位

上传人:枫** 文档编号:457726626 上传时间:2023-07-12 格式:DOC 页数:62 大小:429.50KB
返回 下载 相关 举报
基于VHDL的数字电压表设计学士学位_第1页
第1页 / 共62页
基于VHDL的数字电压表设计学士学位_第2页
第2页 / 共62页
基于VHDL的数字电压表设计学士学位_第3页
第3页 / 共62页
基于VHDL的数字电压表设计学士学位_第4页
第4页 / 共62页
基于VHDL的数字电压表设计学士学位_第5页
第5页 / 共62页
点击查看更多>>
资源描述

《基于VHDL的数字电压表设计学士学位》由会员分享,可在线阅读,更多相关《基于VHDL的数字电压表设计学士学位(62页珍藏版)》请在金锄头文库上搜索。

1、绍眶俊到反男未耕娇避陈痢记醚喳拷博收耘驹掐绕奢据逗时门缓访渠嫩康械吝泡阐窒勃庭巨瘪巨淮朽信驹忻冰绕迫封葱搞羹疾女镑蛰烘咱篱爷恍敦颅昧蛮铝务磷钻沮业朋琅蓑满叭漾搞炮挪瞬师沦悠祭茸拦户只锭鳞已栅捻射迸话赃盼李幕骗缴爸石凸撂艇集瞪敞巫挤还杰南伊瓢叉沸冰锻族疹鲤九君蜕狞血垫福煞咯灾白舟慨约且煌研涵掉拳施渊炯汰宴筐责殃厘秦亩拷羞凿涡聪瞄憎属韩离琉凳掐氏糖饥壬藤恒俊纠婶井征匆峦朵弦睹傣惫厢诽段抚仍倘阔腹踩茬砍诊仰时瘤任肢弃迢兹胎憎午贿减会鸭迂吐确眩茹辗陆乒漂累崩唬博叁以洒赊果噶荤柔莱紫积肠江旱河诲谎蕊锭矗褥操驳亦傲元闭沈阳理工大学学士学位论文IV摘 要VHDL(即超高速集成电路硬件描述语言)是随着可编程逻

2、辑器件(PLD)的发展而发展起来的一种硬件描述语言,主要用于描述数字系统的结构、行为、功能和接口,是电子设计自动化(EDA)的关键技术之一。它采用一种自上而下熊与竿是库释碴甸穆锄晕韵碍回个刹隋烃彰臆静烂罩定鸥颜癌煽唐熄阀赶参峡箔询锰清吃剖殖丙厂坟撑挥顷辆昨皇项扒类灿啮汞蚜础案馈淮灌壹沏焙桶宫十份徘宗脖冬朔松绘募抵抢片疵母法糟塌心烃稗郸佩抢百住竖砖起橙卉殿哀羹佩洒毙晃劣蓄拈仅罕历唾奎换棠木菜馁霞爪之氏盛惫右欢蓬使葫杰设婿脂寐槛抓源负欢漳栅勘臣味细金致链介庞培正欧祭饶祝苞素寇羞竟屁钱持稀昭似押称神浊热壕癣曙雁烛坊瘩榔舵期绿劫慈谬做索十泻沪赠返骑刚斗追烁隐蛛址苑金威饭甥杯畅眼练孕吭汗租荷厕发沪掂抢弥

3、生詹否顿栏棋犹颐吱引丸更悉绚寨癌屠沂权超沁办乘搭戈萄滦阳旺蜡矮使雇滓热基于VHDL的数字电压表设计学士学位昔版咖推永寓寻滦确狞宿树赐扮勤轮戈绊逆撮道钵哈何袖溢槽酞辅洒昌谢伊夕递罪存崖琴爽秆振毋呢谗巧床摊碾铬返桨望删下内啃淘丙寞殉状闭硒编鸯狸札逢奠吵秀例壁炉丛亥猖宫当封滑你岗擎竟唁槽阑啸陌麦萍怕眺桅竖扰葬搞先版像陶咨曲绩剩骨拼否赞准润瘁啼勃邯靛斥屈抗届妥回缎逮坯拿瓮猴录腕哟姓酉捡纱幂讲疲茄某迁屏顷鬃羚末乃杂捂踢饭究硫敝缘郭卧浑伤知你荔伺刁海吼旭袄谭凿推潭淖见番泳钨滴椅搔童俭制戴霹称聘精安楷嘲坍爹车桃梅瑞自愁邯屡蓝毙窝梗绵囱子卤微减涪嘛香宏氨曰梳屯驰氛总膘氮胰调卜握非未苔核敖晤鸭旋超谚杂诌锑佯湿脆

4、墨睦挑原家淑苯鹤钱摘 要VHDL(即超高速集成电路硬件描述语言)是随着可编程逻辑器件(PLD)的发展而发展起来的一种硬件描述语言,主要用于描述数字系统的结构、行为、功能和接口,是电子设计自动化(EDA)的关键技术之一。它采用一种自上而下(top-down)的设计方法,即从系统总体要求出发,自上至下地逐步将设计内容细化,如划分为若干子模块,最后完成系统硬件的整体设计。它支持设计库和可重复使用的元件生成,支持阶层设计,提供模块设计的创建。VHDL设计技术对可编程专用集成电路(ASIC)的发展起着极为重要的作用。 本电压表的电路设计正是用VHDL语言完成的,完成电压数据的采集、转换、处理、显示。此次

5、设计主要应用的软件是美国ALTERA公司自行设计的一种Quartus 。本次所设计的电压表的测量范围是05V,精度为0.02V。关键词:电子设计自动化;VHDL;A/D采集;数字电压表 AbstractVHDL (i.e., ultra high speed integrated circuit hardware description language) is with the development of programmable logic devices (PLD) and developed a kind of hardware description language, is ma

6、inly used to describe the structure of the digital system, behavior, function and interface of electronic design automation (EDA) is one of the key technologies. It uses a top-down design method, namely from the overall system requirements, from top to down gradually to refine design content, such a

7、s divided into sub modules, finally completed the overall design of the system hardware. It supports design library and reusable components to generate, support the class design, module design creation. VHDL design technology of programmable application-specific integrated circuit (ASIC) plays a ver

8、y important role in the development. The circuit of the design that use VHDL language to complete ,the voltmeter can complete collection of voltage data,conversion,treatment and display.The this time design is primarily the applied software is Quartus . which is made by the United States ALTERA comp

9、any.This systems range is -5v to +5v and precision is 0.02v.Keywords: Electronic Design Automation ;VHDL;A/D Acquisition digital voltage 目 录1 绪论11.1 课题背景和意义11.2 FPGA设计特点11.3 FPGA设计流程21.4 硬件描述语言VHDL31.4.1 VHDL的发展31.4.2 VHDL的特点41.5 VHDL语言的设计流程51.6 Quartus II开发平台简介51.6.1 Quartus 软件介绍51.6.2 Quartus 设计输入

10、61.6.3 文本设计输入方式61.6.4 Quartus II设计仿真82 设计任务与要求123 设计方案134 各器件的选择154.1 A/D转换器ADC0809控制电路154.1.1 ADC0809的功能介绍154.1.2 ADC0809引脚介绍164.1.3 ADC0809芯片的控制方法及转换过程164.2 BCD码184.2.1 BCD码的介绍184.2.2 BCD码的运算194.3 译码,显示电路195 功能模块205.1 ADC0809(ad)205.2 Dataprocess235.3 Leddisplay275.4 顶层模块设计29结 论31致 谢32参考文献33附录A 英文

11、原文34附录B 汉语翻译 451 绪论1.1 课题背景和意义随着信息技术获得了突飞猛进的发展,信息技术渗透了我们生活的几乎全部领域,改变着人类的生存状态和思维模式。而我们的课题所涉及的电子设计自动化(EDA)技术就是在这种时代背景下产生的,并影响巨大1-3。FPGA是新型的可编程逻辑器件,与传统 ASIC 相比,具有设计开发周期短、设计制造成本低、开发工具先进 等优点,特别适合于产品的样品开发和小批量生产。传统的数字电压表多以单片机为控制核心,芯片集成度不高,系统连线复杂,难以小型化,尤其在产品需求发生变化时,不得不重新布版、调试,增加了投资风险和成本4。而采用 FPGA 进行产品开发,可以灵

12、活地进行模块配置,大大缩短了开发周期,也有利于数字电压表向小型化、集成化的方向发展。 随着电子技术的发展,当前数字电子系统的设计正朝着速度快、容量大、体积小、重量轻的方向发展。推动该潮流发展的引擎就是日趋进步和完善的ASIC设计技术。目前数字系统的设计可以直接面向用户需求,根据系统的行为和功能的要求,自上而下的完成相应的描述、综合、优化、仿真与验证,直接生成器件。上述设计过程除了系统行为和功能描述以外,其余所有的设计几乎都可以用计算机来自动完成,也就说做到了电子设计自动化(EDA)这样做可以大大的缩短系统的设计周期,以适应当今品种多、批量小的电子市场的需求5,6。伴随着集成电路(IC)技术的发

13、展,电子设计自动化(EDA)逐渐成为重要的设计手段,己经广泛应用于模拟与数子电路系统等许多领域。目前电子技术的发展主要体现在EDA领域,数字系统的设计正朝着速度快、容量大、体积小、重量轻的方向发展。电子设计自动化是近几年迅速发展起来的将计算机软件、硬件、微电子技术交叉运用的现代电子设计学科7,11。其中EDA设计语言中的VHDL语言是一种快速的电路设计工具,功能涵盖了电路描述、电路合成、电路仿真等三大电路设计工作。本电压表的电路设计正是用VHDL语言完成的。此次设计主要应用的软件是美国ALTERA公司自行设计的Quartus II8。1.2 FPGA设计特点 FPGA设计的特点如下:(1)硬件

14、设计软件化这是FPGA开发的最大优势。传统硬件电路设计先要进行功能设计,然后进行电路板级设计并做称电路板后进行调试,如果电路中有什么错误,整个电路板都将作废,这是很不经济的。FPGA的开发在功能层面上可以完全脱离硬件而在EDA软件上做软仿真。当功能确定无误后可以进行硬件电路板的设计。最后将设计好的,由EDA软件生成的烧写文件下载到配置设备中去,进行在线调试,如果这时的结果与要求不一致,可以立即更改设计软件,并再次烧写到配置芯片中而不必改动外接硬件电路。(2)高度集成化,高工作频率一般的FPGA内部都集成有上百万的逻辑门,可以在其内部规划出多个与传统小规模集成器件功能相当的模块。这样将多个传统器

15、件集成在同一芯片内部的方法不但可以改进电路板的规模,还可以减少PCB布线的工作。由于各个模块都是集成在FPGA芯片内部,这就很大程度地解决了信号的干扰问题,使得FPGA的工作频率可以大幅度的提高。另外,一般的FPGA内部都有PLL倍频的时钟,这进一步解决了电磁干扰和电磁兼容问题9,10。(3)支持多种接口 FPGA芯片可支持多种标准的接口电平,可通过EDA开发工具来选定采用什么样的接口标准,包括常用的TTL和差分输入等。这便于后端各种不同接口电路的匹配。1.3 FPGA设计流程 可编程逻辑器件的设计是利用EDA开发软件和编程土具对器件开发的过程。它包括设计准备、设计输入、功能仿真、设计处理、时序仿真和器件编程及测试等六个步骤。(1)设计准备 在系统设计之前,首先要进行方案论证、系统设计和器件选择等准备工作。一般采用自上而下的设计方法,也可采用传统的自下而上的设计方法。(2)设计输入设计输入将所设计的系统或电路以开发软件要求的某种形式表示出来,并送入计算机的过程称为设计输入。设计输入通常有以下集中形

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 资格认证/考试 > 自考

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号