实验五快速傅里叶变换

上传人:工**** 文档编号:457452242 上传时间:2023-01-29 格式:DOCX 页数:11 大小:467.58KB
返回 下载 相关 举报
实验五快速傅里叶变换_第1页
第1页 / 共11页
实验五快速傅里叶变换_第2页
第2页 / 共11页
实验五快速傅里叶变换_第3页
第3页 / 共11页
实验五快速傅里叶变换_第4页
第4页 / 共11页
实验五快速傅里叶变换_第5页
第5页 / 共11页
点击查看更多>>
资源描述

《实验五快速傅里叶变换》由会员分享,可在线阅读,更多相关《实验五快速傅里叶变换(11页珍藏版)》请在金锄头文库上搜索。

1、实验五-快速傅里叶变换?南大察CENTRAL SOUTH UNIVERSITY数字信号处理实验报告题目快速傅里叶变换学生姓名学院物理与电子学院专业班级电子信息科学与技术 1105班学号140411072实验五快速傅里叶变换、实验仪器PC机一台、JQ-SOPC开发系统实验箱及辅助软件(DSP Builder、Matlab/Simulink、Quartus II、Modelsim)。二、实验目的1、了解快速傅里叶变换的基本结构组成。2、学习使用 DSP Builder设计FFT 。三、实验原理1、FFT的原理:笥化快速傅里叶变换(FFT)是离散傅里叶变换(DFT)的一种高效运算方法,它大大, 了

2、DFT的运算过程,使运算时间缩短几个数量级。FFT算法可以分为按时间抽取(D按频率抽取(DIF)两类,输入也可分为实数和复数两种情况。八点时间抽取基-2FFT算法信号流图如图1示:川4J3图1 8点基-2 DIT-FFT信号流图四、实验步骤work” (MATLAB 安1、将桌面的 my_fft_8.mdl拷贝到 “D:装目录下的work文件夹)处,并双击打开。图5-1快速傅里叶变换系统图图5-2快速傅里叶变换子系统1图图5-3快速傅里叶变换子系统2图图5-3快速傅里叶变换子系统3图2、点击工具栏即可开始系统级simulink仿真,以验证该模型的正确性。在仿真进行过程中分别将三个输入控制开关打

3、到000、001、010、011、100以选择五组输入数据进行 FFT运算。(1)当开关打到000时选择第一组数据2.0,2.0,4.0,7.0,3.0,5.0,5.0,8.0其运算结果应为36、 -2.41+3.84i、-4+8i、0.4219+1.844i、-8、0.4102-1.84i、-4-8i、-2.422-3.844i。(2)当开关打到001时选择第二组数据1.1,5.0,10.5,15.3,20.2,25.7,30.6,40.1其运算结果应该为148.5、-16.1+52.35i、-19.8+24.7i、-22.02+12.25i、-23.7、-22.1-12.15i、-19.8

4、-24.7i、-16.9-52.45i0(3)当开关打至I 010时选择第三组数据5.6,5.0,15.8,20.4,25.2,35.1,40.2,45.0其运算结果结果应该为 192.3、-23.39+63.19i、-25.2+25.3i、-15.69+14.49i、-18.7、-15.81-14.39i、-25.2-25.3i、 -23.51-63.29i。(4)当开关打至I 011选择第四组数据10.2,15.3,18.1,20.3,24.2,30.0,35.2,42.3其运算结果应该为 195.6、-8.755+43.11i、-18.9+17.3i、-19.19+8.992i、-20.

5、2、-19.25-8.906i、-18.9-17.3i、 -8.812-43.2i。(5)当开关打至I 100选择第五组数据4.0,10.5,15.6,20.3,25.2,35.7,40.5,45.0其运算结果应该为 196.8、-21.45+60.28i、-26.9+19.1i、-20.85+10.58i、-26.2、-20.94-10.48i、-26.9-19.1i、 -21.55-60.38i。HDL、3、双击模型图中的Testbench模块弹出类似如图7所示对话框。依次单击GenerateRun Smulink、Run Modelsim 三个选项。其中 Run Modelsim 选项后

6、的 Launch GUI前的选项框定要选,这样可以观看 modelsimRTL级仿真结果。4、双击模型图中的SignalComplier模块弹出类似如图8所示对话框。在parameters 栏下的family 选项选择 cyclone II , device选项选择默认的 auto,然后点击 simple 下的 complie ,编译完后关闭该页。5、关闭 MATLAB 。在位置 “DProgram FilesMATLAB71work my_fft_8_dspbuilder ”(MATLAB 安装目录下的 work my_fft_8_dspbuilder )打开文件 my_fft_8.qpf6

7、、工具栏中点击 Assignments,选中Device,在器件family中选择Cyclone n ,选择下拉菜单中的 EP2c35F672C6.,点击 finish。7、执行 Assignmets-Assignment Editor ,将 Category 设为 Pin,并按照下图对 Pin 进行设置并保存O图5-1管脚分配图8、执行Tools-SignalTap R Logic Analyzer ,在Data窗口中的空白处双击,在弹出的对话框中将Fiter设为all®isters:post fittings,点击List,将Output添加至右边的窗口中,点击【OK】确认。在右边的

8、对话框中将 Clock设为Clock信号;Sample depth设置为1K;点选Trigger in , Source设为Clock信号,Pattern设为Rising edge。保存该文件,若弹出对话框询问是否将文件添加至工程,选择Yeso点击菜单栏中的,重新对工程进行编程。9、打开实验箱,接入电源,用USB Blaster线将电脑和实验箱连接起来,选择菜单栏中的贩图标。10、点击Hardware Setup ,选择USB-0,点击【OK】确认。选中 my_fft_8.sof文件, 点击Start,将文件下载到实验板上。11、将实验箱上的开关SW3拨至高电平,SW2、SW1、SW0拨至低电

9、平点击。点击飞 开始运行工程,10s后,点击结束运行。依次使(SW2, SW1 , SW0)=(0, 0, 1)(1, 1,1), 重复上一步的操作,并与理论值进行比较。五、实验结果1、Simulink仿真波形:(1)当开关打到000时(3)当开关打到100时2、RTL级仿真波形1/ EBffTn ClBfdn 13 E*M Ctrinli5-Ibn.: Id 1张1(|3wJ Ml鬲,M 痘- 宓d hiac: to气 VTIK. IM U -r口 Mg 口LHE &HI4 DIHL eidRiag-foCe.T口心口平。口葩岸为WI1 图二El-l* g1 T44v 1OM1I,41l !

10、XK西|uirmim iETm-Gi 力 irmiZESI.|,|一川.,法|.|匚,一皿期LU UJ.UW.3“.ymm-mTW力imTCTlpTmnjXMJUmjJLJMlLLUAU讣印TjTU W gt门7nlnr品刑nqraiiTmnn;iinniJTiun捕开才门门门=门中mmnminJiir,iWErip?HTni;刘mTHffnr川rri门.ntmiiG何订 用URiiT可吟巧目|译弋1门乱门T|YftTn、7Ti:nm:iTnri rnriTijjjjju-lj JXUL&4I LUlHIii iTHf |1前门刖”,吧西?”/1T W111Tn ElPjir门里灯*1呼忖11

11、rH 四逆 重”|1,1.1-|- 必|,1.1.1业.1*血“,-|-山”LL.U 1I.U_LU.UJ.LlJi.止如山.11山|iLi1ULIU -山7i”由7口,口|山.而11 口,:1|。河川皿|1口 UJMHUI川刖JJ1I山) WUnlL山仙心 门 必川J JLLU JUM JJ 山JlIA IMl I I IIrmirniIUJ11JJJ,/5工H一电电*Vf yfc_E_ll_IAiST Mm. hj*A卬 施-IL iV&ALirt也-吗角3_ii_nf 也户吃M壮f .*fa rw h-m z h V W*p他jwJLIMa” 也_藤.f!_B MiJ洛修 At_rf-

12、Ji/itiE(1) (SW2,SW1,SW0)=(0,0,1)(2)(SW2,SW1,SW0)=(0,1,0)d(|Inbr140pati抑i詈3IZK叩可匕tMEBT*UL同匕卜DI MWS-,3531之其3W用羊w四 .tj的搀MUrWFi4d t_fi皇rtinB.FFiAtTViJj巾金.3*九射匕Ik山讲*母u&jssYawLiiJ一世二圣+ 2 B Zufar dimjJ-?D一1C4-&V(3)(SW2,SW1,SW0)=(0,1,1),4 i知源敷Hi if m Ml尊It9逑乎JitaM里,!Mi号超I电?申1*Itl EJrZq三件/_20口1:_山aco-cti4.JlU_S*fV3fcrt2Lffl口 7F .h1rl le|.兑=;胎心12 nihi M-CtiuMl耳hu王.flH=52 E.TEnFHiiliii riTJiwmi1if . njifubm5:一 姐JffiL _ ,-叶* _ 17SCIMIiJE Dvr 51 Xi. I(4)(SW2,SW1,SW0)=(1,0,0)Lai刘d廉51序的;-i“jifual Irt*i产专理笈3官旧晔处n+W* 3h|T|F*JT: =曰 IJ:ro司-l_8_9jFS!*n2_rt

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 商业/管理/HR > 营销创新

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号