VirtuosoSchematicEditor电路仿真

上传人:新** 文档编号:457111571 上传时间:2022-12-29 格式:DOCX 页数:11 大小:442.49KB
返回 下载 相关 举报
VirtuosoSchematicEditor电路仿真_第1页
第1页 / 共11页
VirtuosoSchematicEditor电路仿真_第2页
第2页 / 共11页
VirtuosoSchematicEditor电路仿真_第3页
第3页 / 共11页
VirtuosoSchematicEditor电路仿真_第4页
第4页 / 共11页
VirtuosoSchematicEditor电路仿真_第5页
第5页 / 共11页
点击查看更多>>
资源描述

《VirtuosoSchematicEditor电路仿真》由会员分享,可在线阅读,更多相关《VirtuosoSchematicEditor电路仿真(11页珍藏版)》请在金锄头文库上搜索。

1、电路仿真Virtuoso Schematic Editor一、Virtuoso Schematic Editor实验目的:掌握电原理图(schematic)设计输入方法。rj Lipurn - VMwjrc- Workr;事后门1启动 IC Design 软彳:开机后运行 VMware , 选择 Power on this virtual machine启动Linux系统,进入登录界面,输入用户名和密码File Edit View VM Teann WindowHelp : 口同后回与 LinuxHftd lint Linux re 7 _ 2 dRiHqMm】 Kerne 1 Z.4.7-1

2、B on an 1686rcw I cig i ti : cj Fassuord:用户名:cj, Password: cj cj startx 回车,启动图形界面Shell窗口,命令行模式 cd cj icfb &出现“icfb-log : /”窗口 ( CIW : Command Interpreter Window )icth - Locj: /liomeArrnn/hcm5CbSJagFlo Toolf Option雷Help 1W P& -warning Cwxiot convert strimg -adDbe-heIvetica-aneiiim-r-rjormal-D-10Q-75-

3、5-p-D-iso8859-lra to typemoua-e LMR2建立新库、新单元以及新视图( view):在 CIW 中,File-New-Library ,在弹出的“New Library ”窗口, Name 栏中:mylib选中右下方:* Dont need techfileOK查看 CIW 窗口: Tools-Library Manager ,在 Library 中应有 mylib ,单击它。在 Library Manager 窗口,File-New-Cellview ,在弹出的Create New File”窗口 Cell Name 栏中,nand2Tool 栏中,选 Comp

4、oser-Schematic OK3添加元件(实例instance)在弹出的Virtuoso Schematic Editing:”窗口中,左边为工具栏,选 instance 图标(或i) 单击“Add instance”窗口 Library 栏最右侧 Browser, 弹出Library Browser-”窗口,Library 选 analogLib , Cell 选 nmos4, View 选 symbol 鼠标回到Add instance”窗口,Model name 栏: nch, width: 3.0u , Length: 0.5u , Hide 在“Virtuoso Schemati

5、c Editing:”窗口, 鼠标左键单 击一次,间隔一定距离再单击一次,这样就增加了2个nmos4元件,ESC (试一试:先选中一个元件,再 q ,查看/改变属性)。仿照上述方法,增加 pmos4元件。在Add instance窗口,Model name栏:pch, width: 2.0u , Length: 0.5u ;放置 2 个 pmos4, ESC。(试一试热键 f,的功能)4添加管脚(PIN)选Virtuoso Schematic Editing: 窗口左边工具栏中的Pin 图标(或 p)在Add Pin”窗口中,Pin Names: INA INB , Direction 选 i

6、nput, Usage 选 schematic, Hide 在Virtuoso Schematic Editing: 窗口,鼠标左键单击一次,间隔一定距离再单击一次, 放置2个输入PIN ,p,在“Add Pin ”窗口中,Pin Names: OUT , Direction 选 output, Usage 选 schematic,在“Virtuoso Schematic Editing: 窗口放置输出PIN ,5添加电源和地:i(或单击 instance 工具图标)弹出Add instancew 窗口 , Browse, Library 选 analogLib , Cell 栏:vdd, V

7、iew 选 symbol 在“Virtuoso Schematic Editing:”窗口放置 vdd ,回至U Add instance”窗口,Browse , Cell 栏:gnd,在“Virtuoso Schematic Editing: 窗口放置 gnd, ESC , Cancel掉“Add instancew 窗口。6摆放元件并加网线:参照下图放好元件(symbol):(移动用 m键,删除用 Delete键,取消命令用 ESC键)选Virtuoso Schematic Editing: 窗口左边工具栏中的Wire(narrow),连好网线,ESC7保存文件:Virtuoso Sche

8、matic Editing:”窗口左上角Check and Save 工具。在 mylib库中建立 amplifier单元(Cell)如下图所示。可用q查看/改变属性,另外可能使用m (移动),r (旋转),f (全屏),先m 再 shift +r (左右翻转),先m 再Ctrl + r (上下翻转)等命令。ESC (终止操作)如发生了错误删除,用 Virtuoso Schematic Editing:”窗口左边中间的 undo工具(或 u), 注意:只能恢复前一个被删除的对象。最后 check and save.二、层次化设计symbol生成1symbol 生成进入Virtuoso Sche

9、matic Editing : mylib nand2 schematic窗口。Design - Create Cellview-From Cellview在 Cellview From Cellview 窗口,From View Name 栏为:schematic, Tool / Data Type 栏为Composer-Symbol。 OK在Symbol Generation Options”窗口,单击 Load/Save 按纽,OK出现 a Virtuoso Schematic Editing : mylib nand2 symbol ”窗口, Design-Check and Save

10、 改变symbol形状:用 m命令将 Symbol的上边界位置和INA管脚向上移动一网格,得到下图:,cdscramij) gii.ccs 飞 rom 1-ccsorbm-(3 用m命令将3个cdsParam(n)移到方框外面的下方, 将cdsName()移到方框外面上方, 将字 符OUT移到方框外面右方,删除内部绿色方框。参照下图,单击菜单 Add - Shape - Circle ,加个小圆圈,Add - Shape - Arc ,加个圆弧(先 确定上下2点,如出现angle not allowed不必紧张,稍微移动鼠标试一试,你会发现如何画 弧),用m将左边的红色外框右移 (缩短原sym

11、bol外框),2个PIN以及连线(INA和INB ) 同样右移,用 Line工具画出缺少的 3边。上述步骤中,均使用ESC取消操作命令。画好后,Design - Check and SavepartName一于匕fg SNcme2创建 amplifier 的 symbol:仿照前述步骤,得到如下 symbol :instanceN-ame ,1, , (-j U7同 pprtNcrMAII innI*F|;inpITT提示:在Symbol Generation Options”窗口,将 iref 管脚(Pin)设置为 Bottom Pin。然后删除 cdsParam(3), r旋转pin名ir

12、ef,(选做)删除红色外框,选择 Selection Box 工具,在Add Selection Box”中,Automatic添力口 Text: Add - Note - Note Text,在“Add Note Text”窗口的 Note Text 文本框中:Amp 将 鼠标移至Virtuoso Symbol Editing : mylib amplifier symbol ”窗口,在你希望的位置单击,回 到 Add Note Text”窗口, Cancel。Save。1、2次就可切换成功)(如果你无法画斜线,鼠标右键点击Spectre Simulation进入Cadence系统:cd c

13、j icfb & 实验内容与步骤:一、nand2电路仿真1、创建激励信号电路模块:在 CIW 窗口( icfb-Log:/ ):Tools-Library Manager ,弹出 Library Manager 窗口,在 Library 中应有 mylib,点击它。File-New-Cellview ,在弹出的Create New File ”窗口 Cell Name 栏中,testnand2 Tool 栏中,选 Composer-Schematic, OK在Virtuoso Schematic Editing:”窗口中,按下图加入单元(Instance)、Pin 并连线。点击 Check a

14、nd Save2、编写仿真文件1.设置仿真环境。点击Tools-Analog Environment2.设置仿真模型文件路径。在弹出的 Analog Design Environment ” (ADE )窗口,点击 Setup-Simulation Files在 Include Path 中加上./Models , -OK3 .输入模型文件名。在 ADE 窗口,点击 Setup-Model Libraries 在 Model Library File 栏中:testmodels.scs,-add-OKh 中EL lulhrifl 仙-OKCliiKid4 .选择需要查看的信号。ADE 窗口,点击 Outputs-To Be Plotted-Select On Schematic在Schematic原理图中点击 3个Pin的连线(线会变颜色)。相应地,此时 ADE窗口Outputs框中就有了你所要观察的信号。再选择 Analyses choose- ,设置仿真 tran, 100us, Enabled, OK5 .保存仿真激励文件。点击 ADE窗口的 Session-Save StateSave As 栏:state1 OK(可以关掉Analog Design Environment 窗口)。3、将激励模块加入被仿真电路

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 演讲稿/致辞

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号