HKIV型EDA实验箱说明书

上传人:大米 文档编号:456308028 上传时间:2023-02-15 格式:DOC 页数:61 大小:1.44MB
返回 下载 相关 举报
HKIV型EDA实验箱说明书_第1页
第1页 / 共61页
HKIV型EDA实验箱说明书_第2页
第2页 / 共61页
HKIV型EDA实验箱说明书_第3页
第3页 / 共61页
HKIV型EDA实验箱说明书_第4页
第4页 / 共61页
HKIV型EDA实验箱说明书_第5页
第5页 / 共61页
点击查看更多>>
资源描述

《HKIV型EDA实验箱说明书》由会员分享,可在线阅读,更多相关《HKIV型EDA实验箱说明书(61页珍藏版)》请在金锄头文库上搜索。

1、第一章HKIV型EDA实验系统特点介绍该系统由实验机结合可编程技术开发而成。适用于Altera、Lattice、Xilinx等多种芯片教学实验。可使用VHDL、Verilog、AHDL、原理图、状态图等多种方式设计。主系统仅用一根下载电缆,无需增加任何适配板即可对Lattice、Xilinx、Aitera、Vantis、Atmel和Cypress等公司的不同芯核电压的FPGA/CPLD器件进行在系统编程。为了适应将来市场发展要求,可以进行软件升级以适应更多型号的FPGA/CPLD。系统可配置多个公司不同逻辑资源、封装的适配板,且系统主板功能及通用下载电路ASIC的硬件具备可升级性。(1) 系统

2、含标准5V、3V、2.5V、1.8V混合工作电压功率输出电路模块,以便可对适配板上不同芯核电压的FPGA/CPLD器件进行实验和开发。(2) 系统含标准低压(3.3V、2.5V、1.8V),下载口可用于对外部不同芯核电压的FPGA和CPLD器件进行编程下载。(3) 含典型EDA实验必配的标准VGA彩显接口,可用于显卡或工控设备开发(可提供VHDL应用演示实例)。(4) 含典型EDA实验必配的PS/2鼠标、键盘接口(可提供VHDL应用演示实例)。(5) 含典型EDA实验必配的RS232串行接口,同可于硬件串行通信电路开发、编码模块开发等(提供VHDL演示实例)。(6) 含典型EDA实验必配的单片

3、机总线接口及与CPLD/FPGA至PC机双向通信接口(可提供VHDL演示实例)。此实验为学生提供MCS51汇编语言、VHDL语言、C语言综合应用设计方面的全面锻炼,为学生在电子设计方面的充分发挥提供了可行的平台。(7) 含LED、数码管、扬声器(通过频率控制可奏乐,提供VHDL演示实例)等。(8) 含8个按键、16个开关,供硬件加法器、乘法器、序列检测器、编码器、音乐演奏、脉宽调制、A/D高速采样等设计实验用(提供VHDL演示实例)。(9) 含1Hz5OMHz标准时钟信号源,是完成PS/2、VGA、RS232通信、音乐演奏、脉宽调制、A/D高速采样等典型EDA项目必备之高频时钟,也是发挥FPG

4、A/CPLD高速特性的可靠保证。(10) 含A/D器件ADCO809及其接口,供FPGA控制的模数转换实验用(提供VHDL演示实例)。(11) 含D/A器件DAC0832及其接口,用于数模转换实验(提供VHDL演示实例)。(12) 含器件AD574的接口。(13) 可进行任何译码显示方式(直通非译码、BCD译码、16进制译码、扫描)的智能译码电路模块。(14) 含串行E2PROM 93CXX系列器件接口电路,适用开发基于FPGA/CPLD的工业智能仪表。(15) 含串行E2PROM 24CXX系列器件接口电路,适用开发基于FPGA/CPLD的IC总线电路结构的工业智能仪表。(16) 含TI公司

5、串行D/A器件TLC5620接口,单5V电压供电,适用基于FPGA数字信号处理电路设计。(17) 含TI公司串行A/D器件TLC549接口,单5V电压供电,适用基于FPGA/CPLD的汽车电子设备、数字伺服系统设计等。(18) 含TI公司串行高速A/D器件ADCO8031接口,单5V电压供电,适用基于FPGA的过程控制和图像传感器信号处理(19) 含Tl公司串行超高速A/D器件TLV1572接口,单5V电压供电,适用于数字信号处理和数字图像处理。(20) 含专用工作电源和内置电源以及RS232通信电缆、通用下载电缆、在系统开发用的下载电缆线。(21) 含适用于多媒体教学的所有配套相关内容的CA

6、I软件。(22) 系统可配置模拟电子线路与信号系统的EDA实验板。该实验板对模拟器件和数字器件都有良好的在系统编程功能,可完成诸如四路加/减法器、信号放大/衰减器、Butterworth、Chebyshev、Elliptical、Gaussian、Bessel、Legendre及双二阶滤波器、电桥平衡测试仪、1.5/2.5/3V参考电压输出、压控振荡器、电压监控器、温度监控器、模/数综合系统设计等设计实验。该实验板可对ispPAClO、ispPAC20、ispPAC80进行各类纯模拟电子线路方面的EDA实验与开发。第二章 MAXPLUS的使用2.1 MAXPLUS概述MAXPLUS是Alter

7、a提供的FPGA/CPLD开发集成环境,Altera是世界最大可编程逻辑器件供应商之一。MAXPLUS II的界面友好,使用便捷,被誉为业界最易用易学的EDA软件。在MAXPLUS上可完成FPGA/CPLD设计的整个流程,它提供了一种与结构无关的设计环境,使设计者能方便地进行设计输入、快速处理和器件编程。数据库的建立编译网表提取逻辑综合逻辑分割适配延时网表提取编程文件汇编图形或HDL编辑器编程器设计输入综合或编译适配器件下载仿真图2.1 MAXPLUS编译设计主控界面图2.1上方是MAXPLUS编译设计主控界面,它显示了MAXPLUS自动设计的各主要处理环节和设计流程。包括设计输入编辑、编译网

8、表提取、数据库建立、逻辑综合、逻辑分割、适配、延时网表提取、编程文件汇编(装配)以及编程下载9个步骤。图中下方的流程框图是与上面MAXPLUS设计流程相对照的标准的EDA开发流程。MAXPLUS编译器支持的硬件描述语言有VHDL(支持87及97标准)、Verilog HDL及AHDL(Altera HDL)。前两种为IEEE标准支持的硬件描述语言,最后一种AHDL是Aatera公司自己设计、制定的硬件描述语言,是一种以结构描述方式为主的硬件描述语言。MAXPLUS允许来自第三方的EDIF文件输入,这可以与其他EDA工具进行接口。MAXPLUS支持层次化设计,可以在一个新的编辑输入环境中对使用不

9、同输入设计方式完成的工程模块(元件)进行调用,从而解决了原理图与HDL混合输入设计的问题。在设计输入之后,MAXPLUS的编译器将给出设计输入的错误报告。MAXPLUS拥有性能良好的设计错误定位器,用于确定文本或图形设计中的错误。在进入编译网表功能块后,MAXPLUS将从适配文件中提取SNF时序仿真文件Simulation Netlist(仿真网表文件)。SNF文件详细记录了当前适配的延时和逻辑功能信息,可用于对设计进行时序仿真。在仿真前,需要利用波形编辑器编辑一个波形激励文件。编译和仿真经检测无误后,便可以将下载信息通过MAXPLUS提供的编程器下载到目标器件中。2.2 原理图的输入原理图输

10、入的操作步骤如下:进入Windows后,启动MAXPLUS软件,进入主界面。图2.2 建立新项目的屏幕(1) 首先建立(或指定)项目文件(工程文件),如图2.2所示,鼠标左键单击FILE选项,选择ProjectName选项。图2.3指定项目名的屏幕(2) 在Project Name的输入编辑框中键入dff后在图2.2中单击File菜单后,单击New选项,屏幕如图2.3所示图2.4 选取文件类型屏幕(3)在图2.4中选择Graphic Editor file,单击OK按钮,便进入到MAXPLUSII的图形编辑器。如图2.5所示: 图2.5 空白的图形编辑器辑器(4)在图2.5空白处双击,屏幕如图

11、2.6所示图2.6 选择元件符号的屏幕(5)在图2.6的Symbol Name输入编辑框中键入dff后,单击ok按钮。此时可看到光标上粘着被选的符号,将其移到合适的位置(参考2.7)单击鼠标左键,使其固定:图2.7 放置所有元件符号的屏幕(6)重复(4)、(5)步骤,给图中含义个input、not、output符号,如图2.7所示:(7)在图2.7中,将光标移到右侧input右侧待连线处单击鼠标左键后,再移动到D触发器的左侧单击鼠标左键,即可看到在input和D触发器之间有一条线生成;(8)重复(7)的方法将DFF和output连起来,完成所有连线电路如图1.8所示;(9)在图2.7中,双击i

12、nput_name使其衬底变黑后,再键入clk,及命名该输入信号为clk,用相同方法将输出信号定义成Q;图 2.8完成所有连线的屏幕(10)在图2.8中单击保存按钮,屏幕如图2.9所示:图2.9 欲保存文件前的屏幕(11)在图2.9中,检查File Name的文本编辑框为dff.gdf(因为项目名为dff,故在缺省情况下,均是项目名下加不同的扩展名);(12)在图2.9中单击OK按钮,屏幕如图2.8所示;(13)在图2.8中,单击编译器快捷方式按钮,屏幕如图2.10所示;(14)在图2.10中,单击Processing菜单,检查Timing SNF Extractor选项,使其被选中(即该行前

13、有对号),处理完后,再次回到图2.10的环境下;(15)在图2.10中,单击AssignDevice菜单,屏幕如图2.11所示;(16)完成如图2.11所示的选择后,单击ok按钮,再次回到图2.10的环境下;图2.10 编译器屏幕(17)在图2.10中,单击Start按钮后,计算机开始处理数据,其进度情况有一水平红线表示,结束后屏幕如图2.12(18)在图2.12中,如果有“0 errors”和“0 warnings”字符出现,则表示编译完全通过,单击OK按钮后,屏幕显示如图2.10所示图2.11 选择待编程芯片的屏幕多伦多随风倒撒;分但是;弗兰克适当分;是打发的固定法固定法嘎东方宫东方宫地方

14、攻打法图2.12 完成编译后的屏幕图2.13 下载对话框下载对话框(21)在图2.13中点击“Configure”即可进行下载,如需要进行引脚分配,可以参照附录提供的引脚分配图。(附录一)2.3 文本编辑(VHDL)文本编辑(VHDL)的操作如下:图2.14 指定项目名的屏幕(1) 建立我们的abc项目如图2.14:(2)图2.15中单击file菜单后,单击NEW选项,选择Text Editor File选项如图:图2.15 选取文件类型屏幕图2.16 完成编译后的屏幕(3)单击OK进入空白的文本编辑区进行文本编辑,本节向同学们列举了一个D触发器的例子,其完成后的屏幕如图2.16 (4)完成编

15、辑后的步骤同完成原理图编辑的步骤,请参考2.2节有关内容。2.3 波形编辑波形编辑的操作步骤如下:进入windows后,双击MAXPLUSII图标:图2.17 建立新项目的屏幕(1) 建立我们的cnt10项目,单击图2.17的File菜单,将鼠标移到Project选项后,单击Name选项,屏幕如图2.21所示。在Project Name的输入编辑框中键入cnt10后,单击OK按钮: 图2.18 指定项目名的屏幕(2) 单击File菜单后,单击New选项,屏幕如图2.19所示:图2.20 空白的波形编辑文本编辑器图2.19 选取文件类型屏幕图2.19 选取文件类型屏幕(3) 在图2.19中选择Waveform Editor file,并单击其右边的小黑箭头,在下拉选项中“.wdf”,单击OK按钮后,便进入MAXPLUSII的波形编辑器,如图2.20所示(4) 在图2.20中,双击Name域的空白处,出现如图2.21所示屏幕:图2.21 输入信号名CLK的屏幕(5) 在Node Name的文本编辑框中输入喜好名CLK,Noe Type单选框中选中Pin Inp

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 大杂烩/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号