实验二彩灯实验

上传人:cn****1 文档编号:448486894 上传时间:2022-11-22 格式:DOCX 页数:40 大小:1.45MB
返回 下载 相关 举报
实验二彩灯实验_第1页
第1页 / 共40页
实验二彩灯实验_第2页
第2页 / 共40页
实验二彩灯实验_第3页
第3页 / 共40页
实验二彩灯实验_第4页
第4页 / 共40页
实验二彩灯实验_第5页
第5页 / 共40页
点击查看更多>>
资源描述

《实验二彩灯实验》由会员分享,可在线阅读,更多相关《实验二彩灯实验(40页珍藏版)》请在金锄头文库上搜索。

1、实验二彩灯实验实验项目彩灯控制实验:利用开发实验平台上的 8个LED实现常见彩灯实验。实验目的1、学习QuatusII开发软件的基本操作2、熟悉教学实验板的使用。3、初步掌握VHDL语言的设计、输入、编译、仿真和调试过程。4、掌握USB-BLASTER下载工具的安装、以及程序下载方法。三、实验内容1、首先编译下载给定的VHDL硬件描述语言编写的彩灯控制示例程序,仔 细观察在实验板上的现象。2、用VHDL语言编辑彩灯控制程序,通过按键控制开发实验平台上的8个 LED灯的点亮顺序:(1)按键可选择核心板上的按键,或 EDA主板上矩阵键盘作为按(2)(3)(4)(5)(6)通过一个按键控制 通过一个

2、按键控制 通过一个按键控制 通过一个按键控制 通过一个按键控制 通过一个按键控制键,或DKA系列按键;8个LED灯从左向右依次循环点亮;8个LED灯从右向左依次循环点亮;8个LED灯从中间向两边依次循环点亮;8个LED灯从两边向中间依次循环点亮;8个LED灯全部点亮;8个LED灯全部熄灭。(8) 以上共使用了六个按键控制六种点亮方式3、扩展内容:能够设置8个LED灯的点亮频率(通过时钟、分频器实现)。4、使用三个按键控制LED点亮的六种方式。5、在QuatusII平台上进行波形仿真,保存一组通过按键控制8个LED灯从 中间向两边依次循环点亮的仿真波形图。6、描述在实验板上观察到的现象。四、实验

3、仪器计算机、USBBLASTER下载线、数字系统实验箱、5V稳压电源。五、实验原理1、EDA主板上8个LED灯的电路连接如图1所示。8个LED采用74LS244 进行隔离驱动,当FPGA对应I/O 口为高电平时,相应的LED灯点亮; 为低电平时,灯熄灭。2、表1是对应的FPGA映射管脚表,即编译通过后,进行器件管脚分配时必须按照相应的管脚号进行分配,否则就无法进行硬件验证测试板上的8个LED果用74LSM4进行隔离驱动.因此不会霜帆系微屣刖工柞2sDO4s二i6sDE3D311sD-i13sD5I?SD17SD1JllT图1 8个LED灯的电路连接图表1 LED灯对应的FPGA映射管脚表器件名

4、网络名FPGA映射管脚LED -0SD0165LED -1SD1166LED 2SD2167LED 3SD3168LED 4SD4169LED 5SD5170LED 6SD6173LED 7SD71743、FPGA时钟连接电路及管脚分配分别如图 2和表2所示。LED灯的循环 点亮频率根据所选择时钟信号确定,或者选择某个固定时钟,然后通过分 频器来改变循环点亮频率。r ci(rlCIS 二_cllTaR5CDUT10COUTE.5TQQu一,Hi?K二二 h T,2 iHr21ItYHz/、二二、/.、一二/ 7-上/ ,、小“不TCCG师n以一-VCGEN VCC_QLF”,J:CLX1 L

5、n-L J?图2时钟电路连接图 表2时钟管脚映射表器件名网络名FPGA映射管脚CLK1CLK1152CLK2CLK229CLK3CLK3284、核心板上的四个按键电路连接及管脚映射表如图3所示。按下键相应的I/O 口为低电平,未按下为高电平。|电路旌接JTT. 曼器件名胸缙名fpc; a映射管脚S1S149S2S250S3S353S4S454图3核心板上的四个按键电路连接及管脚映射表5、EDA主板上4 x 4矩阵键盘电路连接电路图及管脚映射表如图4所示KEY5C LCD Kh bl LAb 此、 AD l3YiKt5l器件名网络名FPGA映射管脚器件名网络名FPGA映射管脚key0key013

6、4Keys/ADKeys/AD140keylkeyl135Keys/U11Keys/U11141key2key2136QK0/REST2QK0/REST2key3key3137QK1QK1Keys0/LCDKeys0/LCD138QK2QK2Keys/USBKeys/USB139QK3QK3图4 EDA主板上4 x 4矩阵键盘电路连接电路图及管脚映射表6、EDA主板上DKA系列按键电路连接图及管脚映射表如图 5所示2 DKAO16,13DK.A1151114DKA2U115口 KA3B111J16DKA412T1$7DKA:11同f6DK.A610iIj799s_(_1国VCC5VT1器件名网

7、络名FPGA映射管脚1DKA0DKA0156DKA1DKA1158DKA2DKA2159DKA3DKA3160DKA4DKA4161DKA5DKA5162DKA6DKA6163DKA7DKA7164图5 EDA主板上DKA系列按键电路连接图及管脚映射表根据功能要求,可将8路彩灯控制器的输出按花形循环要求列成表格,其中。Q7-Q0是控制器输出的8路彩灯的控制信号,高电平时彩灯亮。当按下不同的按 键时,LED的控制信号不同。按下Key1, 8个LED同时为低电平,即全灭,按 下Key2,8个LED控制信号同时为高电平,即全亮;按下 Key3, 8个LED的控 制信号按照时钟信号或分频器依次赋值为1

8、000000-01000000-00100000-00010000-00001000-00000100-00000010-00000001通过调整时钟或分频器可调整 LED的点亮速度。同理,按照上述方法分别按下 Key4, Key5, Key6,实现不同的点亮方式。全灭Q7Q6Q5Q4Q3Q2Q1Q0Key 100000000全 亮Q7Q6Q5Q4Q3Q2Q1Q0Key211111111从Q7Q6Q5Q4Q3Q2Q1Q0Key左100000003到01000000右00100000占八、00010000亮00001000000001000000001000000001从Q7Q6Q5Q4Q3Q2

9、Q1Q0Key右000000014到00000010左00000100占八、00001000亮00010000001000000100000010000000从Q7Q6Q5Q4Q3Q2Q1Q0Key中000110005问00100100到01000010两10000001边00011000占八、00100100亮0100001010000001从Q7Q6Q5Q4Q3Q2Q1Q0Key两100000016边01000010到00100100中00011000问10000001占八、010000100010010000011000凫实验步骤1、安装 USB-BLASTER 编程工具(安装步骤见-文

10、档最后-USB_BLASTER 驱动程序的安装);一、建立工程项目:2、在WINDOWS界面双击QuatusII图标uartu;F9.0 (33BiE,进入QuatusII开发环境;3、选择 File=New Project Wizard” 或 Create a New Project,出现如下向 导对话框;New Project Wizard: FntroductionT he New Project Wiz-srd heJlps you create q new projecl: and pfelimiriary proiect settings, inclLidirig the folloiAFino:Project n-ame and directoryName of the top-level design entiliyPiojjecl files and libnariesT argegain取消4、点击 Nex

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 高等教育 > 研究生课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号