EDA数字钟设计

上传人:新** 文档编号:434386650 上传时间:2022-10-22 格式:DOC 页数:16 大小:89.54KB
返回 下载 相关 举报
EDA数字钟设计_第1页
第1页 / 共16页
EDA数字钟设计_第2页
第2页 / 共16页
EDA数字钟设计_第3页
第3页 / 共16页
EDA数字钟设计_第4页
第4页 / 共16页
EDA数字钟设计_第5页
第5页 / 共16页
点击查看更多>>
资源描述

《EDA数字钟设计》由会员分享,可在线阅读,更多相关《EDA数字钟设计(16页珍藏版)》请在金锄头文库上搜索。

1、数字钟程序设计本设计在实验箱上验证,使用电路模式7,用动态扫描方式显示,“DS8使能”开关上推;动态位扫描时,时、分、秒之间间隔点亮;TMODE:12/24进制模式切换,接键8;SCAN:动态扫描时钟信号,接CLOCK0,跳线选16384Hz;MINUTE:分校时,接键1(单脉冲);HOUR:小时时校时,接键4(单脉冲);EXCHGE:计时/校时切换,接键5;CLK::时钟脉冲,接CLOCK2,跳线选1Hz;RET:清零,接键7(单脉冲);EN:计数使能,接键3;CLK1024:蜂鸣输入信号,接CLOCK5,跳线选1024Hz;SG6.0:段选信号,接PIO49到PIO43;BT7.0:位选信

2、号,接PIO41到PIO34;SPEAKER:蜂鸣输出信号,接SPEAKER。程序模块【1】60进制模块CNT60 LIBRARY IEEE; -CLK时钟输入,RET清零,EN计数使能,USE IEEE.STD_LOGIC_1164.ALL; - CQL分或秒的个位输出,USE IEEE.STD_LOGIC_UNSIGNED.ALL; -CQH分或秒的十位输出,ENTITY CNT60 IS - COUT1进位输出PORT (CLK,RST,EN : IN STD_LOGIC;CQL: OUT STD_LOGIC_VECTOR(3 DOWNTO 0);CQH: OUT STD_LOGIC_V

3、ECTOR(3 DOWNTO 0);COUT1 : OUT STD_LOGIC); -向外部的进位END CNT60;ARCHITECTURE behav OF CNT60 ISSIGNAL CQI0: STD_LOGIC_VECTOR (3 DOWNTO 0);SIGNAL CQI1: STD_LOGIC_VECTOR (3 DOWNTO 0);SIGNAL COUT0 : STD_LOGIC; -低位向高位的进位BEGIN PROCESS(CLK,RST,EN) -十进制BEGINIF RST=1 THEN CQI0 0);ELSIF CLKEVENT AND CLK=1 THENIF E

4、N =1 THENIF CQI0 9 THEN CQI0 =CQI0+1;ELSE CQI0 0);END IF;END IF;IF CQI0 = 9 THEN COUT0 = 1;ELSE COUT0=0;END IF;END IF;END PROCESS;CQL=CQI0;PROCESS(COUT0,RST) -六进制BEGINIF RST=1 THEN CQI1 0);ELSIF COUT0EVENT AND COUT0=1 THENIF CQI15 THEN CQI1=CQI1+1;ELSE CQI10);END IF;IF CQI1=5 AND CQI0=9 THEN COUT1=1

5、;ELSE COUT1=0;END IF;END IF;END PROCESS;CQH=CQI1;END behav;【2】24|12进制模块CNT24 LIBRARY IEEE; -CLK输入分的进位信号,RET清零,EN计数使能,USE IEEE.STD_LOGIC_1164.ALL; -MODE为12和24进制的切换,USE IEEE.STD_LOGIC_UNSIGNED.ALL; - CQL小时的个位输出,CQH小时的十位输出ENTITY CNT24 ISPORT (CLK,RST,EN,MODE: IN STD_LOGIC;CQL: OUT STD_LOGIC_VECTOR(3 DO

6、WNTO 0);CQH: OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END CNT24;ARCHITECTURE behav OF CNT24 ISSIGNAL CQI0: STD_LOGIC_VECTOR (3 DOWNTO 0);SIGNAL CQI1: STD_LOGIC_VECTOR (3 DOWNTO 0);SIGNAL CQI2: STD_LOGIC_VECTOR (3 DOWNTO 0);SIGNAL CQI3: STD_LOGIC_VECTOR (3 DOWNTO 0); BEGINP1: PROCESS(CLK,RST,EN) -24进制模式 BEGI

7、N IF RST=1 THEN CQI0 0);CQI1 0); ELSIF CLKEVENT AND CLK=1 THEN IF EN =1 THEN IF CQI12 THEN IF CQI09 THEN CQI0=CQI0+1;ELSE CQI00);CQI1=CQI1+1;END IF;ELSIF CQI03 THENCQI0=CQI0+1;ELSE CQI00);CQI10); END IF; END IF; END IF; END PROCESS P1; P2: PROCESS(CLK,RST,EN) -12进制模式 BEGIN IF RST=1 THEN CQI2 0);CQI3

8、 0); ELSIF CLKEVENT AND CLK=1 THEN IF EN =1 THEN IF CQI31 THEN IF CQI29 THEN CQI2=CQI2+1;ELSE CQI20);CQI3=CQI3+1;END IF;ELSIF CQI22 THENCQI2=CQI2+1;ELSE CQI2=0001;CQI30);END IF; END IF; END IF; END PROCESS P2; P3: PROCESS(MODE) -MODE=1为24进制,MODE=0为12进制 BEGIN CASE MODE IS WHEN 1= CQL=CQI0;CQH CQL=CQI

9、2;CQHNULL; END CASE; END PROCESS P3;END behav;【3】控制逻辑模块CONTROL LIBRARY IEEE; -EXCHGE校时与计时模式控制,USE IEEE.STD_LOGIC_1164.ALL; -MINUTE手动分校时USE IEEE.STD_LOGIC_UNSIGNED.ALL; -HOUR手动时校时ENTITY CONTROL IS -CY0,CY1分别接受秒和分的进位信号PORT (MINUTE,HOUR,EXCHGE,CY0,CY1: IN STD_LOGIC; -CLK0,CLK1分别控制分和小时的时钟脉冲CLK0: OUT STD

10、_LOGIC;CLK1: OUT STD_LOGIC);END CONTROL;ARCHITECTURE behav OF CONTROL ISSIGNAL A0: STD_LOGIC;SIGNAL A1: STD_LOGIC;BEGINP1: PROCESS(EXCHGE,MINUTE,HOUR) BEGIN IF EXCHGE=1 THEN A0=MINUTE; A1=HOUR; END IF;END PROCESS P1;P2: PROCESS(EXCHGE,CY0,CY1) -EXCHGE=1校时模式,EXCHGE=0为计时模式 BEGIN IF EXCHGE=1 THEN CLK0=A0;CLK1=A1; ELSE CLK0=CY0;CLK1=CY1; END IF;END PROCESS P2;END ARCHITECTURE behav;【4】动态扫描模块SCAN_LED LIBRARY IEEE; -SCAN动态扫描时钟信号USE IEEE.ST

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 大杂烩/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号