实验一 38译码器

上传人:新** 文档编号:433169037 上传时间:2023-03-07 格式:DOCX 页数:3 大小:57.29KB
返回 下载 相关 举报
实验一 38译码器_第1页
第1页 / 共3页
实验一 38译码器_第2页
第2页 / 共3页
实验一 38译码器_第3页
第3页 / 共3页
亲,该文档总共3页,全部预览完了,如果喜欢就下载吧!
资源描述

《实验一 38译码器》由会员分享,可在线阅读,更多相关《实验一 38译码器(3页珍藏版)》请在金锄头文库上搜索。

1、实验一3-8译码器的设计一、实验目的1、掌握MAX+plus软件的使用方法。2、重点掌握原理图的编辑和仿真方法。3、通过3-8译码器的设计掌握利用EDA软件进行电子线路设计的过程。二、实验设备1、计算机2、MAX+plusII软件及实验箱三、实验原理3-8译码器是数字系统中的基本逻辑器件。是各种译码器的基础。真值表为A2A1A0Y0Y1Y2Y3Y4Y5Y6Y700001111111001101111110101101111101111101111100111101111011111101111011111101111111111110四、实验内容1、用原理图方式设计3-8译码器电路;2、并完成

2、源程序的编辑、编译、仿真和管角分配。五、实验步骤1、启动MAX+plusII10.0软件2、新建文件原理图文件:(1)FileNewGraphicEditorFile(2)在原理图文件上放置器件(a)在原理图编辑器的空白处双击鼠标左键或单击鼠标右键,在弹出的快捷菜单中选择entersymber,(b)在SymborName处可直接输入元件名称或用鼠标点取元器件库中的所需元件,按下OK即可输入元器件,(c)一个完整的电路包括:输入端口INPUT、电路元器件集合、输出端口OUTPUT。(3) 添加连线标记输入/输出端口属性:分别双击输入端口的“PIN-NAME”,当其变成黑色时,即可输入标记符名称

3、并回车确认;(4) 保存原理图:扩展名为*.gdf(5) 设置为当前文件:点击FileProjectsetprojecttocurrentfile设置项目为当前文件3、编译(1)选择芯片型号:点击AssignDevice:Ep1k30QC208-3(1) 编译:点击MAX+plusIICompilerStart开始编译,生成.pof文件(CPLD)4、仿真启动MaxplusIIWavefromeditor菜单,进入波形编辑窗口;导入输入输出节点:将鼠标移至空白处并单击鼠标右键,EnterNodesfromSNF将欲仿真的所有I/O管脚加入。(3)调整管脚顺序:选中某一管脚并按住鼠标左键拖至相应

4、位置即可完成。(5) (4)为电路输入端口添加激励波形选择仿真时间:视电路实际要求确定仿真时间长短,在本实验默认时间为1usFileEndTime中设置保存激励信号编辑结果:FileSave注意此时的文件名称不要随意改动,后缀为.scf。(6) 仿真:打开MaxplusIISimulatorStart观察电路仿真结果(1) 5、管脚分配与定位点击MaxplusIIFloorplanEditor按下窗口左侧手动分配图标,所有管脚出现在UnassignedNodes窗口在UnassignedNodes窗口中用鼠标选中预分配的管脚,并拖到下面芯片的某一管脚上。(1) 6、下载点击MaxplusIIprogrammerJTAGMuti-DeviceJIAGchainSetup选择需下载文件SelectProgrammingFile*.pof按add列表中的其他文件删除。下载Programconfigure7、硬件验证(1)在实验箱上按照管脚分配进行连线;译码器的3个输入所对应的管脚同3位拨码开关相连;8个输出所对应的管脚同8位发光二极管相连。(2)验证结果六、实验报告要求1、写清实验步骤;2、画出38译码器的原理图;3、给出38译码器的仿真图4、给出管脚分配图。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 解决方案

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号