光纤通信系统5B6B码编码的设计与仿真

上传人:大米 文档编号:431862970 上传时间:2023-09-17 格式:DOC 页数:31 大小:318.91KB
返回 下载 相关 举报
光纤通信系统5B6B码编码的设计与仿真_第1页
第1页 / 共31页
光纤通信系统5B6B码编码的设计与仿真_第2页
第2页 / 共31页
光纤通信系统5B6B码编码的设计与仿真_第3页
第3页 / 共31页
光纤通信系统5B6B码编码的设计与仿真_第4页
第4页 / 共31页
光纤通信系统5B6B码编码的设计与仿真_第5页
第5页 / 共31页
点击查看更多>>
资源描述

《光纤通信系统5B6B码编码的设计与仿真》由会员分享,可在线阅读,更多相关《光纤通信系统5B6B码编码的设计与仿真(31页珍藏版)》请在金锄头文库上搜索。

1、光纤通信系统5B6B码编码的设计与仿真中文摘要在现代数字通信系统中,线路编码因为他在数字通信光纤中具有的优点和长处而成为一种趋势,因此被广泛使用。在数字光纤通信系统,数字光纤通信传输线的字符编码转换和数字信号传送的特征组合起来就形成了电气信号通过电机的传输。改变数字流 “0”、“1”位的码字的平衡,以避免“0”的长连续和“1”的长连现象出现在数据流中。在光纤通信线路的数字编码系统,可用于多种模式,常用模型之一是mBnB模型。本文通过介绍5B6B编码原理,设计编码方案,以及硬件描述语言VHDL和Altera公司的Quartus II 软件的使用,完成了5B6B码的编码与仿真。5B6B具有显着较低

2、的误码扩散系数,相同符号的最大连续码元总和少,时间信息是丰富的,有一个简单的完备的错误监测和同步码组的方法。关键词:光纤数字通信系统 ;5B6B编码 ;VHDL ;Quartus II 5B6B Coding Optical Fiber Communication System Design And SimulationABSTRACTIn modern digital communication systems, line coding is a trend, due to their own advantages and strengths, digital fiber optic com

3、munication has been widely used. In the digital fiber optic communication systems, electrical signals coming from the electrical machine transmission is by the end of optical fiber communication lines with the digital transmission format conversion features together. Changing the balance of the digi

4、tal stream, 0, 1 bit code words, in order to avoid long runs of 0 and the length 1 phenomenon appears in the data stream. In the digital fiber-optic communication line coding system can be used for many reasons, one of the commonly used model is mBnB pattern.This paper describes the 5B6B coding theo

5、ry, design coding scheme and use altera companys hardware description language VHDL and Quartus II software system development, to achieve a 5B6B coding simulation. 5B6B advantage is significantly lower coefficient of error diffusion, achieved the maximum same symbol codes sum little ,timing informa

6、tion-rich, there is a simple method for error monitoring and sophisticated synchronization code groups.KEY WORDS : Digital Optical Fiber Communication System ; 5B6B coding ; VHDL ; Quartus II目 录第一章 绪论11.1 引言11.2 Quartus II 软件介绍11.3 VHDL语言31.3.1 背景简介31.3.2 VHDL主要特点41.3.3 VHDL主要优势5第二章 FPGA系统开发过程62.1 电

7、路设计62.2 设计输入62.3功能仿真62.4综合优化72.5综合后仿真72.6实现与布局布线73.1 5B6B编码83.1.1 5B6B编码原理83.1.2 5B6B码表设计93.2 5B6B编码模块设计113.2.1 编码器的工作原理113.2.2 编码电路模块划分113.3 系统各个模块的设计123.3.1 时钟控制模块的设计123.3.2串并转换模块的设计123.3.3 缓存电路的设计123.3.4 并串转换模块的设计133.3.5 系统的顶层设计133.4 系统各个模块的仿真143.4.1分频器的仿真143.4.2 串并转换模块的仿真143.4.3 存储器模块的仿真143.4.4

8、并串转换模块的仿真153.4.5 完整电路仿真16第四章 总结17参考文献18致 谢19图表目录20III第一章 绪论1.1 引言被普遍使用的数字光纤通信系统中的一种路线码型就是5B6B码1。在光纤中的传输线,信号通过5B6B码和串并转换数据后,形成一个连续的长度小于5的Bit 0 或Bit1串行编码序列,0和1的数据转换的高密度,而且有一个有利于接收电路、时钟恢复电路的设计的直流平衡特性2。5B6B码是一种nBmB分组码,这是一个二进制线路编码,即把一个二进制5位信源码型转为6位二进制线路码型,64个线路码型是基于“0”和“1”的数量来分成两种码型:即非均匀码和均匀码。均匀码是指“0”和“1

9、”的数量是一样的,反之,则为非均匀码。 非均匀码又分为正负两个模式,当“0”码个数少于“1”码个数时是为正模式,“0”码个数大于“1”码时为负模式3。因为线路码和信源码的个数不等,因此从5码转换为6码时,有多种编码方案。本文只选用其中一种编码方案。1.2 Quartus II 软件介绍因为只有通过系统软件的操作和硬件实物平台才能成功实现5B6B的编码设计与仿真,所以在设计前,首先要了解使用Quartus II 和FPGA相应的使用方法。下面做简单的说明。图 1 Quartus II运行背景Quartus II不仅支持AHDL设计输入的形式,同时支持电路原理图,VHDL、VerilogHDL,模

10、拟器和合成器,嵌入其中。Quartus II在PLD开发软件集成中为了完成PLD的设计过程,可以从设计输入完成的硬件配置。除了完成使用Quartus Tcl脚本、QuartusII的设计过程之外,还可以使用设计完美的图形用户界面,并支持使用Unix、XP或Linux等操作系统4 。接口速度快,运行统一,功能设置,简单易用。为了用户能够充足的使用成熟的完备模块,囊括了LPM/Mega功能库,并且降低了设计过程中的复杂程度,同时,它还支持Altera公司的IP内核,设计速度加快了等等。 其他的EDA工具能够让用户使用EQuartusII,认识在设计过程当中的每个过程,支持全面的可编程逻辑器件开发软

11、件。支持电路原理图, VHDL语言,AHDLDA 和vrilogHDL。此外,Quartus II开发的工具能够轻松实现各种DSP应用,将DSP Builder与MATLAB / Simulink结合。SOPC的开发可在可编程系统芯片上实现,包括已设置的可编程逻辑设计系统和嵌入式软件开发形成一个全面的开发平台5 。PLD设计软件拥有Altera公司的优秀的易用性,之前的MAXPLUS II得到了普遍的应用。MAXPLUS II现在已经不更新了,不仅因为Quartus II充实了不断变化的图形界面的设备的类型,还包括许多Quartus II软件,例如,设计的RTL Viewer查看器和编辑器芯片

12、的援助,增强SignalTap II Altera的图形界面,MAXPLUS II继承和SOPC的硬件复制设计和集成流程。可编程逻辑设计环境有一个直观的界面和其强大的设计能力,因此受到广大系统开发者的应用6 。Altera的第4代开发平台有Quartus II软件。该平台支持的工作组环境设计要求,包括基于互联网的协同设计支持。提高LogicLock的设计效力,添加FASTFIT编译器选项,高级编辑网络性能,并提高调试效果。 Max7000/Max3000是开发的第4代产品,它提供了一个完备的功能和高效的设计适合于给定的设计要求7 。Quartus给出了一个便利的输入功能,和迅疾的编译器和编程设

13、备直接访问的能力。支持超过一百万门的逻辑门数的发展,提供了一个无缝接口,用于第三方工具。在Quartus支持的设备有:Stratix ,Stratix GX,Stratix,水星, MAX3000A, MAX 7000B,MAX 7000S,MAX 7000AE,MAX ,FLEX6000,FLEX10K, FLEX10KA,FLEX10KE,旋风,旋风等。Quartus编程包是整个体系的中枢,为了给出强力的问题解决实力,设计人员能够添加特定的限制,提升整个芯片的利用效率。在整个设计过程中的每个环节,该软件可以使Quartus 集中于设计,而不是使用软件本身。同时,自动定位误差,充满了错误和警

14、告信息,使设计更简单和容易。此外, Quartus结合SOPC Builder开发SOPC系统(可编程片上系统) ,是一种非常有前途的EDA软件。Quartus 4.1软件光盘能在代理获得,可以在internetitAltera上下载,安装,并得到授权文件,其具体步骤可以参照MAX+ PLUS 的操作。 1.3 VHDL语言1.3.1 背景简介VHDL是被开发于1982年的的特高速电路集成硬件描述语言,它最初是由美国国防部开发,为了提高设计的可靠性,小范围内使用的用以减少设计语言发展周期的硬件语言。1987年底,VHDL是已经确认的标准硬件描述语言8 。IEEE-1076后不同的公司都推行了各

15、自的开发环境以适用于VHDL的使用,或表示能够和VHDL的设计相连。1993年,宣布对VHDL语言就行修订,VHDL语言扩大了抽象和描述性的动力系统的更高层次的审查内容,即IEEE标准的1076-1993版本。简而言之,VHDL是电路设计语言中的一种。中国被翻译成VHDL描述超高速集成电路硬件描述语言,主要用于数字电路的设计。大多数中国人使用的是FPGA、CPLD和EPLD。显然,相对较强的一些单位的强度,它也可用于ASIC设计9。VHDL语言大部分应用于数字系统的布局、活动、效用和接口的描述。程序结构工程设计的VHDL,或叫做实体设计(可以为一个功能元器件,电路模块或一个完整的系统体系)的特性被分为外部(可见器件)和内部(不可见的器件)的两个功能,即包括实体里面的功用和算法实现的功用部分。设计实体确定外部接口后,在其内部设计成功之后,其他模块可以直接使用此实体。这个概念是分为内部和外部实体设计的VHDL开发和设计的基础部分。1.3.2 VHDL主要特点1.健壮,灵活的设计VHDL语言拥有较强的结构,因此能够表现出源代码的清晰和精炼的描述,从而达成繁杂的控制逻辑。多层次的描绘方式使之具备多层次的设计特征,最后可直接产生电路级的描述。与其他硬件描述语言相比,VHDL具备有它们所没有的电路设计特征,即:同步、异步和随机。VHDL

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 大杂烩/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号