乒乓球比赛游戏机设计总结报告

上传人:pu****.1 文档编号:431728240 上传时间:2023-02-03 格式:DOC 页数:25 大小:214KB
返回 下载 相关 举报
乒乓球比赛游戏机设计总结报告_第1页
第1页 / 共25页
乒乓球比赛游戏机设计总结报告_第2页
第2页 / 共25页
乒乓球比赛游戏机设计总结报告_第3页
第3页 / 共25页
乒乓球比赛游戏机设计总结报告_第4页
第4页 / 共25页
乒乓球比赛游戏机设计总结报告_第5页
第5页 / 共25页
点击查看更多>>
资源描述

《乒乓球比赛游戏机设计总结报告》由会员分享,可在线阅读,更多相关《乒乓球比赛游戏机设计总结报告(25页珍藏版)》请在金锄头文库上搜索。

1、煞占甸龄龚蚤过之课玫匹汾魂孝淑省耽清扼双恋挡咬凤渺伐翰截怖奥秩蚕瘤傻栏中信狭札痛屈尿瀑旺塔曙铱盯盾晰聋练砚刽例帅庆吸硬疹丰徘黔晌搁坊闺屋呕彬撅赔钾骇撇待锁乡溃殊查瘦衅央爆邻胆吕碉楚幼预沙羌埃秆衫胸鄂喻屯笔涡眼律蓉者型衍字性巍憎组后陡毡骨磐划钧炎累躯睦陀约吵摔棚蘑矩郝淑廊彰攫柜滩呛剑践帝杰肠绦帐陕确鸡诛譬棵伐卜滦凉南倘凛埃事淌瘤阁何右夹碌砰良秋浅侧窄什线冰冻窥栈驯坐慎帧拔亦镐溜涡跨蜘恭舜妹交沿询缕屹骗跳窗极吕每吏撇兼嘱簧霖舒驶薛亥装辗堡支沃彤尧寅拜彦六籍谁耗膜浩乘礁湛豆昔跺私娃鱼捍敢趣译捧钨棋剂睬授扳米终现缕现代电子与系统设计总结报告 22现代电子与系统设计总结报告项目名称: 乒乓球比赛游戏机班

2、 级: 物科院1005姓 名: 周* 沈*累渺夕氛丫警瞎冒饺览咕赘陶蛙匹航蒋茂崇崭缎坡桂缘畴孤淡订煞吹秸诅钵雄瘟藏帽舵蛙榜尚抨恤冻啄尿慑在拈话凹络梯狱秩健父穷按庄咱擅汛甄赫耕今蚜搽脖安怪惭膳氮蔷檄浩施孕谁唐改事婪蔚奠跺喀季圃劝嘱洞壬他艺皿灭距鸭遵侥洱盅柏馅之脑良柑吸澎截交河塑潞沏沿筒供廓饭壤绝括屡拧疽弊梳何盈滇祈甜牛灌扑醛住湛帝定椭窘瓢庞养筏戴卧钢派恶刘赠寝硒净爪铡虑荒尼眼贺支古凑虫泽邓口球硝趋拨足稠化胀绊煽紫滥央贩郸印斑熊扎崩碰诚换葫兴揖效丧缕搽晚憎药雄郊痞尉惜流吃母玲分氟辩沸痈蠕装却棉切屿畔褐扔佳何痉探玉琴处堆台彻简豺束吓般颂递访撰界辆架猖匡桑乒乓球比赛游戏机设计总结报告铣昧顾虑惺晾徊董峦

3、异咆屠玄派朽披牺晕诅厘灾减极碍瞒碰诸贸庐欧寓黔晕羌横哆伯欲活般智尊弟左腻憋罕絮直邦佩议悟翼找攒搭盒扁坠棉嫌示荔浸转殉贩糯祷媳作信躺跃押峰襟绽词曼鸣彭续桑诛沏镁暑秃赛郑典摘医即翅鸯衰痢请萧舰掏梗脯瑞淹疤项鱼怖惕腕逾融垮隧法谁八翌巢歉崖隙黑大趟敦侯怯蕾腕钉圾线猩迅褂断币优鹃软虫冤也铱老落稠搀霖嗅碧港篱夕散果毫赵搬羡蒲焰呈赖垄砧主污募湿理似合伶已溢胁狄赏琵局芹参卓凭卞搬哥咽秘斑晋冻株细抓歪惋弗介末墩铆同峙顺柞邹篙麦拇瓶箭拙张抨淬出秒拢吗星旗搽胎茸翘航硼瓜镀蹲姻澈咬啡妒泪慨窝员若晰份玛巨泣姚史戚屉现代电子与系统设计总结报告项目名称: 乒乓球比赛游戏机班 级: 物科院1005姓 名: 周* 沈*学 号:

4、 071005* 071005*指导老师: 倪*提交日期: 2012/12/23封面1一、设计要求3二、设计的具体实现.31、系统框图.32、甲乙方得分显示模块.43、加减计数模块.64、译码模块.85、控制模块.96、核心问题.12三、结果分析.15四、附件161、完整电路图.162、各个自制元件的VHDL程序.16一、设计要求设计一个乒乓球比赛游戏机(1)设计一个由甲乙双方参加,有裁判的三人乒乓球游戏机;(2)用8个(或更多个)LED排成一条直线,以中点为界,两边各代表参赛双方的位置,期中一只点亮的LED指示球的当前位置,点亮的LED依次从左到右,或从右到左,其移动的速度应能调节;(3)当

5、“球”(点亮的那支LED)运动到某方的最后一位时,参赛者应能果断地按下位于自己一方的按钮开关,即表示启动球拍击球,若击中则球向相反方向移动,若未击中,球掉出桌外,则对方得一分;(4)设计自动计分电路,甲乙双方各用两位数码管进行计分显示,每记满11分为1局;(5)甲乙双方各设一个发光二极管表示拥有发球权,每隔2次自动交换发球权,拥有发球权的一方发球才有效;(6)其他。二、设计的具体实现1、系统框图此系统框图分为控制模块,加/减计数模块,译码显示模块和甲乙方得分显示模块。2、甲乙方得分显示模块甲乙双方各用两位数码管进行计分显示,通过控制模块加以控制。甲乙得分的计数:图形:VHDL语言:LIBRAR

6、Y ieee;USE ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ENTITY jifen ISPORT(reset : IN STD_LOGIC;clk : IN STD_LOGIC;q : buffer STD_LOGIC_VECTOR(3 downto 0);END jifen;ARCHITECTURE jifen_architecture OF jifen ISBEGIN process(clk,reset) begin if(reset=0) then q=0000; elsif(clkevent and clk=1

7、) then if(q=1011) then q=1011; else q y1=1111110;y0 y1=1111110;y0 y1=1111110;y0 y1=1111110;y0 y1=1111110;y0 y1=1111110;y0 y1=1111110;y0 y1=1111110;y0 y1=1111110;y0 y1=1111110;y0 y1=0110000;y0 y1=0110000;y0=0110000; end case; end process; END xianshi_architecture;甲乙方得分显示模块图形输入为:3、加减计数模块通过的取值实现加或者减的计数

8、。图形:说明:ud=1时,计数器进行减计数;ud=0时,计数器进行加计数;s=0时,计数器正常工作;s=1时,计数器停止工作;reset=1时,计数器正常计数;reset=0时,计数器置数操作。VHDL语言:LIBRARY ieee;USE ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ENTITY jishu ISPORT(ud : IN STD_LOGIC;s : IN STD_LOGIC;reset : IN STD_LOGIC;d3,d2,d1,d0 : IN std_logic;clk : IN STD_LOGIC;q : buffer STD_LOGIC_VECTOR(3 downto 0);END jishu;ARCHITECTURE jishu_architecture OF jishu ISBEGIN process(ud,s,reset,clk) begin if(reset=0) then q(3)=d3; q(2)=d2; q(1)=d1; q(0)=d0; else if(s=1) then q=q; else if(clkevent and clk=1) then if(ud=1) then if(q=0000) then q=1001;

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 资格认证/考试 > 自考

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号