数字电路复习题及答案

上传人:桔**** 文档编号:431247901 上传时间:2023-03-02 格式:DOCX 页数:26 大小:36.65KB
返回 下载 相关 举报
数字电路复习题及答案_第1页
第1页 / 共26页
数字电路复习题及答案_第2页
第2页 / 共26页
数字电路复习题及答案_第3页
第3页 / 共26页
数字电路复习题及答案_第4页
第4页 / 共26页
数字电路复习题及答案_第5页
第5页 / 共26页
点击查看更多>>
资源描述

《数字电路复习题及答案》由会员分享,可在线阅读,更多相关《数字电路复习题及答案(26页珍藏版)》请在金锄头文库上搜索。

1、数字电子技术基础复习题一.选择填空题(以下每小题后均给出了几个可供选择的答案,请选择其中一个最合适的答案填入空格中)1 .处理b的电子电路是数字电路。(a)交流电压信号(b)时间和幅值上离散的信号(c)时间和幅值上连续变化的信号(d)无法确定2 .用不同数制的数字来表示2004,位数最少的是d 。(a)二进制(b)八进制(c)十进制 (d)十六进制3 .最常用的BCD码是 b 。(a) 5421码(b) 8421码 (c)余3码 (d)循环码4 .格雷码的优点是c 。(a)代码短(b)记忆方便(c)两组相邻代码之间只有一位不同 (d)同时具备以上三者5 .两个开关控制一盏灯,只有两个开关都闭合

2、时灯才不亮,则该电路 的逻辑关系是 a 。(a)与非(b)或非(c)同或 (d)异或6 .已知F=ABC+CD ,选出下列可以肯定使F=0的取值 d(a) ABC=011(b) BC=11(c) CD=10 (d) BCD=1117.2004个1连续异或的结果是a 。(a) 0 (b) 1(c)不唯一(d)逻辑概念错误二、填空题(请在空格中填上合适的词语,将题中的论述补充完整)1.5的5421BCD码是 0101 这个是8421码的 。2 .逻辑表达式中,异或的符号是、同或的符号是。3 .逻辑函数常用的表示方法有真值 表 、逻辑函数式、逻辑图 和 卡诺图。4 .用代数法化简逻辑函数需要一定的经

3、验和技巧,不容易确定化简结果是否是最简。5 .用卡诺图化简逻辑函数,化简结果一般是最简与-或 式。一.选择填空题(以下每小题后均给出了几个可供选择的答案,请选 择其中一个最合适的答案填入空格中)1 .实体(ENTITY)描述一个设计单元的 C D的信息。(a)行为、元件及连接关系(b)元件、子程序、公用数据类型(c)名称和端口的引脚等(d)可编译的设计单元2 .结构体(ARCHITECTURE )用于描述设计单元的A D 。(a)行为、元件及连接关系(b)元件、子程序、公用数据类型(c)名称和端口的引脚等(d)可编译的设计单元3 .在VHDL语言中,ARCHITECTURE中的语句都是 B 执

4、行的 语句。(a)顺序(b)并行(c)即可顺序也可并行(d)无法确定4 .在VHDL程序设计中,下面4个部分,C不是可编译的源设计单元。(a)ARCHITECTURE (b) ENTITY (c) PROCESS (d)PACKAGE5 .在VHDL程序中,以下4个部分,BC可以有顺序执行语句。(a)结构体(ARCHITECTURE) (b)进程(PROCESS)中的关 键词BEGIN前 (c)进程(PROCESS)中的关键词BEGIN后 (d) 程序包(PACKAGE)6 .结构体中的变量应在 VHDL程序中 D部分给予说明。(a)结构体对应的实体的端口表中(b)结构体中关键词 BEGIN前

5、 (c)结构体中关键词BEGIN后 (d)程序包(PACKAGE)7 .VHDL中的各种逻辑运算中,运算符 D的优先级别最高。(a) AND (b) OR (c) XOR (d) NOT8 .在VHDL语言中,不同类型的数据是D 的。(a)可以进行运算和直接代入(b)不能进行运算和直接代入(c)不能进行运算但可以直接代入(d)可以进行运算但不能直接代入9 .在VHDL语言中,信号赋值语句使用的代入符是C 。(a) =(b) :=(c) =(d)=10 .在VHDL语言中,变量的赋值符是 B 。(a) =(b) :=(c) =(d)=二、填空题(请在空格中填上合适的词语,将题中的论述补充完整)1

6、 .两种复合数据类型是记录 和集合 。2 .进程启动、触发的条件是敏感信号发牛变化。3 .进程的语句是顺序 执行的。4 .要使用VHDL的程序包时,要用 use 语句说明。5 .能与逻辑电路图中的器件一一对应的 VHDL描述方式是结构化描述 。1 .简述VHDL语言的主要优点。答:VHDL语言的主要优点是:(1)覆盖面广,描述能力强,是一个 多层次的硬件描述语言;(2)可读性好,既能够被计算机接受,也容 易被人理解;(3)生命期长,它的硬件描述与工艺技术无关,不会因 工艺变化而过时;(4)支持大规模设计的分解和已有设计再利用,有 利于由多人或多项目组来共同完成一个大规模设计;(5)已成为IEE

7、E 承认的一个工业标准,成为一种通用的硬件描述语言。2 .判断如下VHDL的操作是否正确,如不正确,请改正。字符 a和b 的数据类型是BIT, c是INTEGER,执行c1.4V (b) Uoh2.4V(c) Uoh3.3V(d) Uoh=3.6V3 .TTL与非门输出低电平的参数规范值是C 。(a) Uoi0.3V(c) Uoi Io = 10mA,可选74系列TTL门7404非门。一.选择填空题(以下每小题后均给出了几个可供选择的答案,请选择其中一个最合适的答案填入空格中)1.10- 4线优先编码器允许同时输入 D路编码信号。(a) 1(b) 9(c) 10(d)多2.74LS138有 B

8、 个译码输入端和 C 个译码输出端。(a) 1(b) 3(c) 8(d)无法确定3 .利用2个74LS138和1个非门,可以扩展得到1个 C 线译码器。(a) 2-4 (b) 3-8 (c) 4-16(d)无法确定4 .用原码输出的译码器实现多输出逻辑函数,需要增加若干个B_。(a)非门(b)与非门(c)或门(d)或非门5 .七段译码器74LS138的输入是4位_D,输出是 C 。(a)二进制码(b)七段码 (c)七段反码(d) BCD码6 .多路数据选择器MUX的输入信号可以是D 。(a)数字信号(b)模拟信号(c)数模混合信号(d)数字和模拟信号7 .与4位串行进位加法器比较,使用超前进位

9、全加器的目的是C 。(a)完成自动加法进位(b)完成4位加法(c)提高运算速度 (d)完成4位串行加法8 .功能块电路内部一般是由C 组成。(a)单片MSI(b)多片MSI (c)各种门电路(d)无法确定9 .某逻辑电路由一个功能块电路组成,整体电路的逻辑功能与这个功 能块原来的逻辑功能D 。(a) 一定相同(b) 一定不同(c)不一定相同(d)无法确定二、填空题(请在空格中填上合适的词语,将题中的论述补充完整)1 .所谓组合逻辑电路是指:在任何时刻,逻辑电路的输出状态只取决 于电路各 输入信号的组合,而与电路原有状态无关。2 .在分析门级组合电路时,一般需要先从卡诺图写出逻辑函数式。3 .在

10、设计门级组合电路时,一般需要根据设计要求列出布尔表达式,再写出逻辑函数式。4 .要扩展得到1个6-64线译码器,需要 9_个74LS138。5 .基本译码电路除了完成译码功能外,还能实现谬辑函数生和DMUX逻辑函数发生 和 DMUX 功能。试用译码器设计1位二进制数全减运算电路。解:本题的目的是练习用译码器实现多输出逻辑电路。(1)规定逻辑变量设输入逻辑变量Ai为被减数、Bi为减数、G-1为低位的借位,输出逻 辑函数Si为差、G为本级的借位输出信号。根据设计要求写出逻辑真 值表。(2)设计电路由于本设计有Ai、Bi和Ci-i共3个输入量,故选用3-8线译码器实现 电器最为简便。首先将输出逻辑表达式写为最小项和的形式S= m(1,2,4,7)C=% m(1,2,3,7)

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 机械/制造/汽车 > 汽车技术

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号