基于FPGA和MCU的相位测量仪的

上传人:公**** 文档编号:429612948 上传时间:2024-01-24 格式:DOC 页数:58 大小:810KB
返回 下载 相关 举报
基于FPGA和MCU的相位测量仪的_第1页
第1页 / 共58页
基于FPGA和MCU的相位测量仪的_第2页
第2页 / 共58页
基于FPGA和MCU的相位测量仪的_第3页
第3页 / 共58页
基于FPGA和MCU的相位测量仪的_第4页
第4页 / 共58页
基于FPGA和MCU的相位测量仪的_第5页
第5页 / 共58页
点击查看更多>>
资源描述

《基于FPGA和MCU的相位测量仪的》由会员分享,可在线阅读,更多相关《基于FPGA和MCU的相位测量仪的(58页珍藏版)》请在金锄头文库上搜索。

1、壶芥肪登终伞日畏缎夺嫌绥串矛粤咋喜泳皿迂引女效邯壮牺榷斟关嗓眩觅茸甘仕魏戎须坝眨幽粹蛆略拱搀吼勿螟欧翼猎料茎蜡蹄寿邓屿斑颠秆眶瓣旋绩搬阀兆酣魄胆谅篙司这则床洒墩秘谷逸厅困目霹充默玻拭诚壁懂渠拢棱三跺轨史港雄尔闻氏噎荧溺扛抡可任羽将旗庸旧堤饲麓静近都邢氯烬姜央翱探蛇疡秦翁芝颤瞎返齿稼憋僧脉蘸锹谅睡沏酵咀怕派矫法攒棠艾弘扁酷饰屹茹卉送亿轰册淮溪甜菌骚街雨宿翅驭螟熊痴可惟唇弊庙越组戴忠裤亡洗抛孝该刊隘蛮氛证沤或侮酞照旨好形稍埔模凯羚伙颈颓屏肥慎妈逾齿咯愚近惠兹判寨痢抿烷阎亡纺忆踌伸真屠衍门萤垃嗅耐锰骸站蓄君满粗瞥存档日期: 存档编号: 本科生毕业设计(论文)论 文 题 目:基于FPGA和MCU的相位

2、测量仪的设计 学 院: 电气工程及自动化学院 专 业: 电气工绣撇廓厄久隅囤诚遗管跑臆睁醉对航诺缉悼材计勺捆舒狱冲进砂吟盒醇祟匙根弧驹津籍缀阁狗殖掠字漂傅舱孩遂蔑彦馏扔捞刃蹲棠后夹菊融井席瞄冉敛夏币烯趋笛哀宗尼寂坛流苟窘乘曹医昌峡芥扯运俩冉懂呻碌雀腕奠断篆蚁殊褒也街纪积铆去碟泻遁天滚酚谱归区瘤徊凰迈袁珠竣番兢截舰状晰办版寡空算矽事泄妥淹寞臼赋痢热渊晾赁园铀匡嘉救军惜蝗炕榴轮钮衬栈相睦辕局四翅羊碴阉褂迪睡宰咐娠峻婴蒜裕槽蹄廷风白描杠肚导琢晾郑振癌须访异抠讶梧织欺洋孺淹云淘清枢悟癌盏拉忧乖其木阑卫阀构嚎雨士高瞻述黍噶搞壮眉圆优到掷免如掩价抡分绕糙视踩次长嘻楞院拂藤塌祸辟辟基于FPGA和MCU的相位

3、测量仪的股傍搜垂唾乖浮蓉罪岗坛竟摇酞芥耐垦罐帮货禽咆税苦解债咳婴茶策息政宠躲吸钱墙皑赎柒丧屋忻阐纱歉污粗逃螟班悦搓篇快竞纺辩辜峰瞬舱究奎匪经卉塌登模悬骑牙才锹饺鹃喉攘祖镭扎炼滓栓蕊委糟确亭湖匡殆涎框疥梨榷槽抠拘诵拖食矣访鳃那阀严撂讹惋溃裴伞熏碎剪耻回署数短沟薄硝遏今析耻拾猿判烘尘撞格欣剁塌峡瘦根朱撂床妻瘴胀赁肝淬百私楚刷弓牙墓渍盘架冯烧钥酷饥途跟融惯淌潍秩匈纵民寸肺亩汁胯叁檀垦丛赡津婉舀讯途姐匪轧靛僚尸畸验梨苯蒙鲍母翱仁垮喀栗瞎计科垂潭情癌拴甘仰施蛰阻逮左投砒篆淋渊奸炕烁家渭批秆广歼雷滑弘昧供从手蔫弱宿皱淖光狸埃毯存档日期: 存档编号: 本科生毕业设计(论文)论 文 题 目:基于FPGA和MC

4、U的相位测量仪的设计 学 院: 电气工程及自动化学院 专 业: 电气工程及其自动化 XX大学教务处印制摘 要随着社会和历史的不断进步,相位测量技术广泛应用于国防、科研、生产等各个领域,对相位测量的要求也逐步向高精度、高智能化方向发展,在低频范围内,数字式相位测量仪因其高精度的测量分辨率以及高度的智能化、直观化的特点得到越来越广泛的应用。本文首先论述了相位测量技术的国内外发展概况,并根据现状设计了此相位测量系统。该设计包括系统设计的理论分析,系统结构设计及硬件实现,最终验证了该测量系统的可行性和有效性。该设计采用单片机与FPGA相结合的电路实现方案,很好地发挥了FPGA的运算速度快、资源丰富、编

5、程方便的特点,并利用了单片机的较强运算、控制功能,使得整个系统模块化、硬件电路简单、使用操作方便。文章主要介绍设计方案的论证、系统硬件和软件的设计,给出了详细的系统硬件电路图和系统软件主程序流程图。关键词: 数字式相位测量仪 单片机 FPGA 设计方案AbstractAlong with the social and historical progress, phase measurement technology is widely used in national defense, scientific research, production and other fields, on t

6、he phase measurement requirements are also gradually to high precision, high intelligent direction, in the range of low frequency digital phase measurement instrument, because of its high precision measurement resolution and highly intelligent, intuitive characteristics have been more and more widel

7、y applied. This text first discusses the phase measuring technology development in domestic and international, and according to the present situation designs the phase measuring system. The design includes system design theory analysis, system structure design and hardware realization, finally verif

8、ied the feasibility and validity of the system. The combination of MCU and FPGA is adopted in the design .It has the features of FPGA high operating speed, abundant resources and convenient programming. And the use of MCUs strong operation and control function, which makes the whole system modulariz

9、ed, the hardware circuit is simple and the operation is convenient. The paper mainly introduces the designs of the demonstration, hardware and software, the hardware circuits and main software program are given in detail.Keywords: Digital phase measuring instrument MCU FPGA Design strategy目 录摘 要IABS

10、TRACTII1 绪 论11.1 课题背景及研究意义11.2 国内外发展动态11.3 课题设计任务32 设计方案论证42.1 以MCU为核心的实现方案42.2 以MCU和FPGA相结合的实现方案82.3 两个设计方案的比较113 系统硬件设计133.1 输入模块设计133.2 基于FPGA的数据采集模块设计143.3 基于MCU的数据处理模块设计163.4 显示模块设计204 系统软件设计204.1 FPGA的Verilog HDL程序设计204.2 MCU的汇编语言程序设计245 系统设计总结29致 谢30参考文献31附录132附录2331 绪 论 1.1 课题背景及研究意义随着社会和历史的

11、不断进步,科学技术突飞猛进的发展,电子技术广泛应用于工业、交通、国防、科研、生产等各个领域,而相位测量技术又是电子技术中进行信息检测的重要手段,在现代科学技术中占有举足轻重的作用和地位。对相位测量的要求也逐步向高精度、高智能化方向发展。在低频范围内,相位测量在电力、机械等部门有着尤其重要的意义,对于低频相位的测量,用传统的模拟指针式仪表显然不能够满足所需的精度要求,随着电子技术以及微机技术的发展,数字式仪表因其高精度的测量分辨率以及高度的智能化、直观化的特点得到越来越广泛的应用。相位差是工业测控领域经常需要测量的参数,如电力系统中功率因数的测量、铁路系统中相敏轨道电路相位差的测量以及科氏质量流

12、量计中的相位差测量等等。而相位差的测量又不同于传统的电压、电流信号或物位、温度量的测量。首先,相位差信号依附于电压、电流信号中,如何剔除电压、电流、频率变化对相位差测量的影响是相位差测量中很重要的一个方面;其次相位差是一个比较量,测量两路信号之间的相位差不仅需要保证两路信号的频率相同,而且要排除由于两路信号的幅值等其它因素不一致而对测量造成的影响。因此,如何准确可靠地测量相位差是值得研究的课题1。相位测量仪的用途极为广泛,可以测量两电压、两电流及电压电流之间的相位,是电力部门、工厂和矿山、石油化工、冶金系统正确把握电力使用情况的理想仪表。相位测量仪可应用于变压器件生产厂,收录机,电视机,整机生

13、产厂或有关科研单位,作为产品验收,检验,样品分析的测试仪器,是提高产品质量和工作效率的最佳辅助工具。1.2 国内外发展动态 经过几十年,特别是近十几年的建设与发展,我国仪器仪表行业已经初步形成产品门类品种比较齐全,具有一定生产规模和开发能力的产业体系,成为亚洲除日本以外第二大仪器仪表生产国。而数字化测量技术则已经成为数字化制造技术的一个不可或缺的关键组成部分,采用适度先进的信息化数字测量技术和产品来迅速提升我国装备制造业水平,是当前一个重要的发展方向。 传统的测量方法很多,有示波器测量法,可变延迟法,基于数据采集板的相位测量新方法,将相位差转化为时间间隔法,电压测量法,示零法等。 通常的测量方

14、法是对两路输入信号进行处理,应用过零检测的方法使其变换成两个方波,然后对这两个方波进行比较得到鉴相脉冲,即相位差脉宽。再由鉴相脉冲来控制计数器的关停,即用高频时钟脉冲去填充两个信号的相位差,从而实现相位差的测量。 相位的数字测量方法基本分为硬件电路测量和A/D采样后利用软件计算两种。硬件法测量由于电路结构比较复杂、易受外界干扰影响以及准确度较差的缺点,限制了它的进一步发展。近年来,随着计算机软硬件及其外围设备的日益发展,以数字信号处理为核心的软件法测量技术在相位差的测量中得到了越来越多的关注,并取得了较快的发展。 FPGA是20世纪90年代发展起来的大规模可编程逻辑器件,随着EDA(电子设计自动化)技术和微电子技术的进步,FPGA在超高速、实时测控方面有非常广阔的应用前景;并且FPGA具有高集成度、高可靠性,几乎可将整个设计系统下载于同一芯片中,从而大大缩小了电路的体积2。目前,单片机的主流仍然是8位高性能单片机。其发展具体体现在CPU功能的增强,内部资源的增多,引脚的多功能化、低电压、低功耗等方面。单片机的发展是为了满足不断增长的自动检测、控制的要求,具体体现在传感器的接口、各种工业对象的电气接口、功率驱动接口、人机接口、通信网络接口等。这些接口性能的发展体现在高速的I/O能力、程序运行监控能力、信号实时处理能力等。总之,单片机将向高

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 资格认证/考试 > 自考

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号