毕业设计基于的FPGA的数据采集控制系统设计

上传人:re****.1 文档编号:425114545 上传时间:2023-03-05 格式:DOC 页数:44 大小:356.52KB
返回 下载 相关 举报
毕业设计基于的FPGA的数据采集控制系统设计_第1页
第1页 / 共44页
毕业设计基于的FPGA的数据采集控制系统设计_第2页
第2页 / 共44页
毕业设计基于的FPGA的数据采集控制系统设计_第3页
第3页 / 共44页
毕业设计基于的FPGA的数据采集控制系统设计_第4页
第4页 / 共44页
毕业设计基于的FPGA的数据采集控制系统设计_第5页
第5页 / 共44页
点击查看更多>>
资源描述

《毕业设计基于的FPGA的数据采集控制系统设计》由会员分享,可在线阅读,更多相关《毕业设计基于的FPGA的数据采集控制系统设计(44页珍藏版)》请在金锄头文库上搜索。

1、无锡太湖学院学士学位论文基于的FPGA的数据采集控制系统设计摘 要以ALTERA公司的FPGA芯片EP1C6T144C8为核心,采用A/D转换器件和D/A转换器件设计了一个基于FPGA的数据采集系统,并用状态机描述方式对系统进行了深入分析。系统通过软件设计输入、分析与综合、仿真与验证等过程仿真实现了这一系统。设计输入过程中用VHDL语言编程实现了对TLC5510的采样控制和D/A转换器的控制;分析与综合过程对设计文件进行排错处理,并且对系统耗用的资源进行统计;仿真与验证过程对设计的核心模块的功能和时序性质进行了仿真测试,用仿真波形分析说明设计结果满足设计要求。关键词:数据采集系统;FPGA;采

2、样控制系统1无锡太湖学院学士学位论文AbstractThe FPGA chip EP1C6T144C8 of ALTERA Company which works with the A/D and D/A conversion devices as the core. A data acquisition, based on FPGA, was designed by programming with QuartusII simulation software and the function of validation. The system was analyzed deeply by a

3、dopting the method of the state machine. A data acquisition structure was designed in the system, which was achieved by designing inputs, analysis and synthesis, simulation and validation and so on. In the process of design inputs, the control of sampling to TLC5510 and D/A diversion were achieved b

4、y programming with VHDL language. The process of analysis and synthesis misarranged the design files. It also made a statistics of consumed sources in the system. The function and timing nature of the design was tested by simulation in the simulating and verifying process for validating the work her

5、e.Key Words: Data Acquisition System, FPGA, VHDL, Sampling Control System目 录第一章 绪 论11.1 课题背景及依据11.2 选题目的及意义2第二章 系统的结构设计32.1 概述32.2 系统总体结构及原理32.2.1 A/D转换模块42.2.2数据存储模块62.2.3 D/A转换模块62.2.4 FPGA控制模块7第三章 FPGA对A/D和D/A转换控制软件设计93.1 VHDL硬件描述语言基础93.1.1 设计输入93.1.2 程序结构说明103.2 状态机设计113.2.1 MOORE状态机设计123.2.2 VH

6、DL一般状态机设计123.2.3 类型定义语句TYPE133.3 用状态机设计A/D采样控制143.4 用行为描述方式设计A/D采样控制163.5 实用状态机的优势173.6 D/A转换的控制18第四章 Quartus仿真204. 1 设计输入204.2 设计编译214.3 设计综合224.4 设计仿真254.5 仿真结果及分析274.5.1 状态机描述方式的时序仿真和功能仿真分析274.5.2 行为描述方式时序仿真分析27第五章 测试信号分析295.1 正弦波的产生295.2 正弦波发生器的特点31结 论33致 谢34参考文献35附录1 状态机实现TLC5510采样控制程序36附录2 行为描

7、述方式实现TLC5510采样控制程序38附录3 测试信号正弦波的产生程序39附录4 D/A转换器控制程序45无锡太湖学院学士学位论文第一章 绪 论现代电子设计技术的核心已日趋转向基于计算机的电子设计自动化技术,即EDA技。现代电子系统设计领域中的EDA是随着计算机辅助设计技术的提高和专用集成电路规模的扩大应用而生,并得到不断完善的。由于数字技术的发展,可编程专用集成电路,即CPLD/FPGA器件,目前得到广泛的应用,为电子系统的设计带来极大的灵活性。可编程专用集成电路可以通过软件编程对器件的硬件结构和工作方式进行重构,使得硬件的设计如同软件设计那样方便快捷。这一切可极大地改变传统的电子系统设计

8、方法、设计过程乃至设计观念。甚至在ASIC器件设计过程中,利用EDA技术完成对软件仿真之后,在投片之前,也可以先利用FPGA进行“硬件仿真”。如果能够利用CPLD/FPGA器件的可编程特性,把设计的结果加载到器件中进行硬件的调试和验证,则对EDA技术的掌握极其有益。1.1 课题背景及依据20世纪末,电子技术获得了飞速发展,在其推动下, 现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。EDA工程就是以计算机为工作平台,以EDA软件工具为开发环境,以可编程器件为实验载体,以ASIC、SOC新

9、品为目标器件,以电子系统设计为应用方向的电子产品自动化设计过程,EDA工程广义的定义范围包括半导体工艺设计自动化,可编程器件设计自动化,电子系统设计自动化,印刷电路板设计自动化,仿真与测试故障诊断以及形式验证自动化。EDA工程的狭义的定义范围是电子设计自动化。不包含电子生产自动化。EDA工具的出现,给电子系统设计带来了革命性的变化。随着INTEL公司Pentium处理器的推出,ALTERA等公司几十万门乃至上百万门规模的FPGA的上市,EDA工程在功能仿真、时序分析、集成电路自动测试、高速印刷电路板设计及操作平台的扩展等方面都面临着新的巨大的挑战。目前,EDA技术作为电子设计的通用平台,逐渐向

10、支持系统级的设计发展;数字系统的设计也从图形设计方案向硬件描述语言设计方案发展。可编程器件在数字系统设计领域得到广泛应用,不仅缩短了系统开发周期,而且利用器件的现场可编程特性,可根据应用的要求对器件进行动态配置或编程,简单易行地完成功能的添加和修改。在EDA软件开发方面,主要集中在美国。 但各国也正在努力开发相应的工具。日本,韩国都有ASIC设计工具,但不对外开放。相信在不久的将来会有更多更好的设计工具在各地发展壮大。EDA技术发展迅猛,完全可以用日新月异来描述。EDA技术的应用广泛,现在已涉及到各行各业。EDA水平不断提高,设计工具趋于完美的地步。EDA市场日趋成熟,但我国的研发水平很有限,

11、需迎头赶上。1.2 选题目的及意义1、对电子设计最前沿技术EDA的跟踪和掌握针对EDA技术发展的走势,无疑高速率,高质量的设计系统代表着未来十几年,甚至几十年的发展方向。传统的电子设计技术通常是自底向上的,即首先确定构成系统的最底层的电路模块或元件的结构和功能,然后根据主系统的功能要求,将他们组合成更大的功能块,使它们的结构和功能满足高层系统的要求。以此流程逐步向上递推,直至完成整个目标系统的设计。而EDA技术的应用是自顶向下的,就是在整个设计流程中各设计环节逐步求精的过程。一个项目的设计过程包括从自然语言说明到VHDL的系统行为描述,系统地分解,RTL模型的建立,门级模型产生,到最终的可以物

12、理布线实现的底层电路,就是从高抽象级别到低抽象级别的整个设计周期。此外,VHDL设计优秀的可行性,EDA平台的通用性以及与具体硬件结构的无关性,使得前期的设计可以容易的应用于新的设计项目,则项目设计的周期可以显著缩短。2、应用FPGA技术实现高速的数据采集系统随着信号处理器件的处理速度越来越快,数据采样的速率也变得越来越高,在某些电子信息领域,要求处理的频带要尽可能的宽,动态范围要尽可能的大,以便得到更宽的频率搜索范围,获取更多的信息量。本课题是对EDA技术的应用,即用EDA工具实现数据的采样。FPGA的应用是EDA技术有机融合软硬件电子设计技术,SOC和ASIC设计,以及对自动设计与自动实现

13、最典型的诠释。用FPGA控制数据采集系统代替用单片机控制数据采集系统已经成为特定的趋势。39第二章 系统的结构设计2.1 概述针对基于FPGA的数据采集系统设计的要求,先对EDA技术和VHDL语言进行学习,然后研究数据采集中的关键问题,并且实现用FPGA控制数据采集系统地设计。2.2 系统总体结构及原理系统的总体结构如图2-1所示。在符合奈奎斯特采样定理的条件下,外界的模拟信号频率要小于采样模块采样频率的1/2。如果还有高频分量的话,可以让外界的模拟信号经过一个低通滤波器滤除高频分量后输入到A/D转换芯片TLC5510中。A/D转换芯片每隔一个时钟取出一次x(t)的幅度,抽样后的信号称为离散时

14、间信号,它只表示一些离散时间点。随之在A/D转换器的保持电路中将抽样信号变换成数字信号。经过A/D转换器后不仅时间离散化了,而且幅度也离散化,即x(n)。由FPGA中的采样控制器控制TLC5510的采样,将采集到的信号锁存在FPGA的内部存储器RAM中,然后控制RAM中的数据输出到D/A转换器,D/A转换器每隔一个时钟取出一次y(n),随之在D/A转换器的保持电路中将数字信号转换为模拟信号,这些信号在时间点上的幅度应等于序列y(n)中相应数码所代表的数值大小。若最后输A/D转换器数字信号x(n)模拟信号输入x(t)控制信号FPGA内部FIFO控制信号模拟信号输出y(t)D/A转换器数字信号y(

15、n)信号恢复 y(t)图2-1 数据采集系统结构图出的信号具有不符合条件的高频分量,则还要通过一个模拟滤波器,滤除不需要的高频分量,平滑成所需的模拟输出信号y(t),以完成信号的采集。根据FPGA在系统中的功能,可将其模块分为A/D采样控制模块、数据存储模块和D/A控制模块。2.2.1 A/D转换模块在系统的A/D转换中使用的芯片是TLC5510,TLC5510 高速模数转换芯片,用于视频处理,高速数据转换等领域,采用CMOS工艺制造,精度为8位,转换速率20Msps,每秒采样20M次,采用半闪速结构,内建采样保持电路。TLC5510芯片图如图2-2所示:图2-2 TLC5510芯片引脚图TLC5510为24引脚、PSOP表贴封装形式(NS)。其引脚排列如图2-2所示。AGND:模拟地信号;ANALOG IN:模拟信号输入端;CLK:时钟输入端,作为数据采集的主控时钟;DGND:数字信号地;D1D8:数据输出端口。D1为数据最低位,D8位最高位;OE:输出使能端。当OE位低时,D1D8数据有效;因为系

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 大杂烩/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号