可编程逻辑器件概述 (9)

上传人:奉*** 文档编号:375769535 上传时间:2024-01-02 格式:PPT 页数:9 大小:69.50KB
返回 下载 相关 举报
可编程逻辑器件概述 (9)_第1页
第1页 / 共9页
可编程逻辑器件概述 (9)_第2页
第2页 / 共9页
可编程逻辑器件概述 (9)_第3页
第3页 / 共9页
可编程逻辑器件概述 (9)_第4页
第4页 / 共9页
可编程逻辑器件概述 (9)_第5页
第5页 / 共9页
点击查看更多>>
资源描述

《可编程逻辑器件概述 (9)》由会员分享,可在线阅读,更多相关《可编程逻辑器件概述 (9)(9页珍藏版)》请在金锄头文库上搜索。

1、EDA技术技术4 VHDL入门基础4.1 VHDL基本结构4.2VHDL文字规则4.3VHDL数据对象4.4VHDL数据类型4.5数据类型转换4.6VHDL操作符4.7VHDL预定义属性4 VHDL入门基础4.1 VHDL基本结构4.2VHDL文字规则4.3VHDL数据对象4.4VHDL数据类型4.5数据类型转换4.6VHDL操作符4.7VHDL预定义属性4.2 VHDL文字规则 4.2.1 数字型文字数字型文字 数字型文字有多种表达方式,包括整数、实数、以数制基数表示的文字以及物理量文字等。1.整数:整数:整数都是十进制数,由数字和下划线组成,如:12,456,12_345_678(相当于1

2、2 345 678)2.实数:实数:实数也是十进制数,但必须带有小数点,由数字、小数点和下划线组成,如:3.14159,27.86_973.以数制基数表示的文字:以数制基数表示的文字:如:2#1011#-二进制数101110#538#2 -十进制数5380016#fe9#-十六进制数fe94.物理量文字:物理量文字:物理量文字用来表示时间、长度等物理量如1us,10A等。4.2.2 字符串文字字符串文字字符串文字包括字符和字符串1.字符字符:字符是用单引号括起的ASCII字符。如a,A,Z,U,H,L,0,12.字符串:字符串:字符串是一维的字符数组,放在双引号中。如:“ERROR”,“WAR

3、NING”,“VHDL”;B“11010011”、X“F8”、O“735”等。4.2.3 标识符标识符1.有效字符:26个大小写英文字母,数字09以及下划“_”;2.必须以英文字母开头;3.下划前后必须都有英文字母或数字;4.标识符中的英文字母不区分大小写;5.允许包含图形符号、也允许包括空格符。如:and21、beh、dataflow、h_adder等 都是合法的标识符,f_adder、_and21、123等 是非法的标识符。4.2.4 下标下标 标识符(表达式)标识符(表达式)如如a(3)、b(2)、m(7)等都是合法的下标。等都是合法的下标。下标表达式中,标识符必须是数组型的变量或信号的名字下标表达式中,标识符必须是数组型的变量或信号的名字。例:例:SIGNAL a:STD_LOGIC_VECTOR(0 TO 7);SIGNAL m:INTEGER RANGE 0 TO 5;SIGNAL x,y:STD_LOGIC;x=a(5);-可计算的下标可计算的下标y=a(m);-不可计算的下标不可计算的下标谢谢!

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 高等教育 > 大学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号