电子行业走进“芯”时代系列:算力大时代处理器SoC厂商综合对比

举报
资源描述
20222022年年8 8月月2222日日算力大时代,处理器算力大时代,处理器SoCSoC厂商综合对比厂商综合对比华西证券电子团队华西证券电子团队走进“芯”时代系列深度之走进“芯”时代系列深度之 五十七“国产算力五十七“国产算力SoCSoC”1pOoNpOtMrRpRnNqPyRtOqM9PbPaQsQrRnPmOlOrRuMfQtRpN6MnMqOwMsQrONZnNoP目录目录 一、处理器SoC技术壁垒 二、市场空间与竞争格局 三、处理器芯片厂商对比 四、估值分析,以海外龙头发展历史来看 五、风险提示3一、处理器一、处理器SoCSoC技术壁垒技术壁垒4什么是什么是SoCSoC从功能维度来看从功能维度来看 SoC称为系统级芯片,也称片上系统,是一个有专有目标的集成电路,其中包含完整系统并有嵌入软件的全部内容。通俗理解,就是将目标系统运转涉及的多种功能通过一颗芯片来实现,因此既要有硬件组成,又要有软件写入,一般包含了完整的系统、软件及算法。从终端应用场景来看,不同场景需要的功能不同,对于软硬件和算法的需求也有差异,这构成了SoC在不同场景下的区别。资料来源:CSDN,华西证券研究所CPU,SoC芯片的中央处理单元,基于该CPU运行系统软件/应用软件,配合SoC芯片内部的其他硬件模块,实现产品的各种功能接 口,实 现SoC芯片和其他芯片或外设的连接,用 于SoC 芯片外接存储器、摄像头、USB设备等或用于实现各种高速数据传输。嵌入式内存多媒体处理单元,包括GPU单元、编码器、解码器、ISP等,是终端应用核心关注模块之一。外部存储器接口系统外围设备多媒体界面,实现视频或图像信号的输入和在屏幕等界面上的显示5什么是什么是SoCSoC从对比维度来看从对比维度来看将多功能集成的实现方式一般包括两种:SoC和SiP(系统级封装)。从架构上来讲,SiP是将多种不同功能的单独的芯片,包括处理器、存储器等,通过并排或叠加的封装方式集成在一个封装内,从而实现一个基本完整的功能。而SOC则是高度集成的一颗单独的芯片产品。SoC有着更高的集成度、更小的面积、更低功耗等多方面的优势,目前还在沿着摩尔定律方向演进,但是多功能的集成会受到材料和IC工艺的限制;SiP可以将各种工艺的器件进行集成,开发周期较短,是未来超越摩尔定律的必然选择路径。资料来源:半导体行业观察,华西证券研究所后摩尔时代集成电路的发展后摩尔时代集成电路的发展SoCSoC与与SiPSiP对比对比资料来源:CSDN,华西证券研究所6处理器处理器SoCSoC,围绕着,围绕着CPUCPU扩展扩展 中央处理器(CPU)作为计算机系统的运算和控制核心,是信息处理、程序运行的最终执行单元。应用处理器SoC是在中央处理器的基础上扩展音视频功能和专用接口的超大规模集成电路,是智能设备的“大脑”,在智能设备中起着运算及调用其他各功能构件的作用。CPU内部主要由运算器、控制器、寄存器三大部分组成。运算器负责算术运算(+、-、*、/基本运算和附加运算)和逻辑运算(包括移位、逻辑测试或比较两个值等);控制器负责应对所有的信息情况,调度运算器把计算做好;寄存器它们可用来暂存指令、数据和地址。既要对接控制器的命令,传达命令给运算器;还要帮运算器记录处理完或者将要处理的数据。资料来源:维基百科,华西证券研究所计算机软硬件结构计算机软硬件结构CPUCPU构成构成资料来源:头条大话IT,华西证券研究所7WintelWintel和和AAAA两大生态体系主导两大生态体系主导 计算机指令(Instruction)是计算机硬件直接能识别的命令,以其复杂性可被分类为复杂指令集架构(CISC)和精简指令集架构(RISC)两大类。X86架构是目前唯一的主流复杂指令集;ARM架构作为目前最成功RISC架构。CPU行业目前由两大生态体系主导:一是基于X86指令系统和Windows操作系统的Wintel体系,垄断个人计算机和服务器处理器市场;二是基于ARM指令系统和Android 操作系统的AA体系,主导了智能手机和物联网芯片处理器市场。前者生态相对封闭,后者生态相对开放,芯片厂商需要获得ARM的授权。ARM授权分三种,架构层级授权可深度定制,投入规模大,玩家少;内核层级授权是处理器SoC厂商主要采用的;使用层级授权是最低的授权等级,只能使用封装好的ARM芯片,而不能进行任何修改。RISC-V 架构同属于RISC架构,以开源为最大特色,起步相对较晚,但发展很快,有望成为第三大主流架构。资料来源:芯语,华西证券研究所ARMARM授权方式授权方式 指令集分类指令集分类8CPUCPU的发展离不开先进制程支持的发展离不开先进制程支持更强大算力和性能的提升离不开ARM内核的支持,更先进制程则可以带来性能与功耗的平衡,比如采用7nm(纳米)技术制作的CPU肯定比14nm(纳米)技术制作的CPU在晶体管数量、处理速度、功耗以及温升等方各面都会高出一个数量级。跨越到10nm以下,越进一步,难度越大,研发、流片等投入也呈几何指数增长。资料来源:ExtremeTech,华西证券研究所工艺演进对于数字芯片的优势工艺演进对于数字芯片的优势IRDSIRDS预测摩尔定律先进制程技术发展路径图(预测摩尔定律先进制程技术发展路径图(20182018-20342034)9模块众多,自研模块众多,自研IPIP构筑产业壁垒构筑产业壁垒 处理器SoC涉及到的模块众多,包括了硬件、软件两部分:从硬件层面来看,包括CPU、GPU、NPU、VPU、DSP、RAM、ADC/DAC、Modem、电源管理模块、外围设备控制模块等等,不同用途SoC的硬件构成会有所差异;软件层面即包括各模块的算法,如ISP算法、视频编解码算法、音频编解码算法等,也包括众多操作系统,如Android、Linux等。自研IP即可义满足定制化开发的需求,也能使得芯片厂商有能力持续优化芯片成本、功耗、性能等,构筑赛道竞争力。但是自研IP也要面对投入规模相对较大、与公版IP性能对比等诸多挑战。一颗处理器一颗处理器SoCSoC涉及众多模块涉及众多模块高通高通820CPU820CPU核心组成核心组成部分模块主要功能CPUS中央处理单元,基于该CPU运行系统软件/应用软件,配合SoC芯片内部的其他硬件模块,实现产品的各种功能。GPUS图形处理单元,基于该GPU实现可运行于SoC芯片的各种游戏、各种图形UI界面的渲染和特效、高性能计算等。NPU嵌入式神经网络处理器,AI芯片的代表,采用“数据驱动并行计算”的架构,特别擅长处理视频、图像类的海量多媒体数据。ISP图像信号处理器,是用来对前端图像传感器输出信号进行处理的单元,通过图像重建、色彩重建等处理流程,对原始图像的质量进行优化BP基带处理器,主要功能为支持几种通信标准,提供多媒体功能以及用于多媒体显示器、图像传感器和音频设备相关的接口总线用于SoC芯片内部主设备和从设备之间的数据访问和互联互通,高性能的实现各种主设备同时访问多个从设备。接口实现SoC芯片和其他芯片或外设的连接,用于SoC芯片外接存储器、摄像头、各种显示屏(包括电视)、USB设备等或用于实现各种高速数据传输。10从算法自研到硬件架构自研,更多则更强大从算法自研到硬件架构自研,更多则更强大 数字芯片竞争激烈,对于芯片厂商来说需要面临性能、功耗、成本等各方面的综合考量,若想各方面均达到最优,需要硬件、算法、操作系统、制程等各方面的配合。苹果的强大即来自于从算法到操作系统的自主开发,也来自于大部分芯片模块的自研。全栈自研具有极大的开发难度和需要大量的投入,苹果之路基本不可复制,市场上有独立的第三方IP公司。我们认为,在硬件架构、操作系统、算法、制程四个维度上,算法的自研难度相对较低,是处理器公司提升竞争力的第一步,制程的升级伴随着节点的演进难度呈几何指数增长,硬件架构和操作系统的自研最难。整理移动端芯片综合性能排行移动端芯片综合性能排行部分旗舰手机处理器型号“自主”和“公版”设计一览部分旗舰手机处理器型号“自主”和“公版”设计一览类别高通(骁龙888)联发科(天玑9000)海思(麒麟9000)三星(Exynos2000)苹果(A15)CPUARM公版(Cortex X1+A78+A55)ARM公版(Cortex X2+A710+A510)ARM公版(CortexA77+A55)ARM公版(Cortex X1+A78+A55)自主设计GPU自主设计(Adreno 660)ARM公版(Mali-G710 MP10)ARM公版(Mali-G78 MP24)ARM公版(Mali G78 MP14)自主设计NPU自主设计(第六代高通AI引擎)自主设计(APU 590)自主设计(达芬奇架构2.0)自主设计(Triple NPU+DSP)自主设计ISP自主设计(Spectra 580)自主设计(第7代ImagiqISP)自主设计自主设计自主设计BP自主研发,SOC集成自主研发,SOC集成自主研发,SOC集成自主研发,SOC集成非自主,SOC集成高通X6011AIAI技术是产业升级的重点方向技术是产业升级的重点方向AI运算指以“深度学习”为代表的神经网络算法,需要系统能够高效处理大量非结构化数据(文本、视频、图像、语音等)。需要硬件具有高效的线性代数运算能力,计算任务具有:单位计算任务简单,逻辑控制难度要求低,但并行运算量大、参数多的特点。对于芯片的多核并行运算、片上存储、带宽、低延时的访存等提出了较高的需求。芯片厂商一方面在智能分析算法方面需要具有很强的技术积累;另一方面,在集成电路设计工艺上有越来越多的产品采用更先进的工艺,如40nm甚至28nm工艺,以进一步提高芯片处理速度并降低芯片功耗。针对不同应用场景,AI芯片还应满足:对主流AI算法框架兼容、可编程、可拓展、低功耗、体积及价格等需求。AIAI芯片算力发展阶段芯片算力发展阶段从从AlexNetAlexNet到到GPTGPT-3 3,算力增长迅速,算力增长迅速12“TurnkeyTurnkey”降低客户研发周期,配套亦是关键”降低客户研发周期,配套亦是关键 一般从处理器SoC到终端产品的完成发布都需要有一个二次开发的过程,可以是方案商也可以是终端厂商,二次开发主要针对终端应用的算法设计、软硬件适配等各方面展开,开发周期平均在半年到一年。对于终端应用来说,除了处理器芯片之外,还需要解决如无线互联、电源管理等各方面的工程,因此如果处理器原厂可以将各种所需的无线连接、电源管理等一并研发配套,则会大大降低下游客户的二次开发难度和缩短开发周期,下游产品可以快速推出抢占市场。这也是芯片原厂形成竞争力、抢占市场的行之有效的手段,当然也提出了更高的技术要求。资料来源:瑞芯微招股书,百度百科,华西证券研究所部分无线连接技术一览部分无线连接技术一览测试测试测试测试技术种技术种类类技术方技术方案案最大传最大传输距离输距离最大传最大传输速率输速率终端终端成本成本功功耗耗应用场景应用场景局部或局部或者短距者短距无线接无线接入入Wifi800m9.6Gbps较低较高智能家电、数传蓝牙300m48Mbps较低较低穿戴式、耳机、智能家居Zigbee300m250kps较低较低智能家居、工业LPWALPWA低低功率广功率广域网域网Sigfox50km100bps较低较低工业、物流LoRa15km50kbps较低较低智慧城市和交通监控、计量和物流、农业定位监控NB-IoT15km250kbps迅速降低较低抄表、电子停车、智慧路灯等蜂窝无蜂窝无线接入线接入eMTC2km1Mbps较高较高智能穿戴、车辆管理、电子广告屏等3G/4G/5G-较高较高穿戴、手机等13二、市场空间与竞争格局二、市场空间与竞争格局14处理器处理器SoCSoC市场总括(存量替代与增量成长并存)市场总括(存量替代与增量成长并存)整体来看,处理器芯片下游应用广泛,既包括消费电子如手机、平板、扫地机器人、无人机等,又包括各种类型的行业应用如安防、商显、工业等。对于处理器芯片需求的增长有两个维度,一方面是出货量增长带来的,一方面是性能
展开阅读全文
温馨提示:
金锄头文库所有资源均是用户自行上传分享,仅供网友学习交流,未经上传用户书面授权,请勿作他用。
相关资源
正为您匹配相似的精品文档
相关搜索

当前位置:首页 > 研究报告 > 综合/其它


电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号