福建师范大学21春“电子信息工程”《EDA技术》离线作业(四)辅导答案6

上传人:住在****她 文档编号:319509006 上传时间:2022-06-29 格式:DOCX 页数:6 大小:18.30KB
返回 下载 相关 举报
福建师范大学21春“电子信息工程”《EDA技术》离线作业(四)辅导答案6_第1页
第1页 / 共6页
福建师范大学21春“电子信息工程”《EDA技术》离线作业(四)辅导答案6_第2页
第2页 / 共6页
福建师范大学21春“电子信息工程”《EDA技术》离线作业(四)辅导答案6_第3页
第3页 / 共6页
福建师范大学21春“电子信息工程”《EDA技术》离线作业(四)辅导答案6_第4页
第4页 / 共6页
福建师范大学21春“电子信息工程”《EDA技术》离线作业(四)辅导答案6_第5页
第5页 / 共6页
点击查看更多>>
资源描述

《福建师范大学21春“电子信息工程”《EDA技术》离线作业(四)辅导答案6》由会员分享,可在线阅读,更多相关《福建师范大学21春“电子信息工程”《EDA技术》离线作业(四)辅导答案6(6页珍藏版)》请在金锄头文库上搜索。

1、长风破浪会有时,直挂云帆济沧海。 她福建师范大学21春“电子信息工程”EDA技术离线作业(四)辅导答案一.综合考核(共50题)1.CAD是Computer Aided Design,计算机辅助设计的缩写。()A.正确B.错误参考答案:A2.Verilog HDL不支持条件语句。()A.正确B.错误参考答案:B3.衡量仿真器性能的重要指标有哪些()。A.仿真速度B.仿真的准确性C.仿真的易用性参考答案:ABC4.PLD器件的设计往往采用层次化的设计方法,分模块,分层次地进行设计描述。()A.正确B.错误参考答案:A5.Verilog HDL和 VHDL目前还都不是IEEE标准。()A.正确B.错

2、误参考答案:B6.下面哪些是专业提供PLD器件厂商()。A.XilinxB.AlteraC.LatticeD.Micsoftware参考答案:ABC7.IP核中的软核与生产工艺无关,不涉及物理实现,为后续设计留有很大空间。()A.正确B.错误参考答案:A8.数字设计流程中的设计输入的表达方式一般有原理图方式和HDL文本方式两种。()A.正确B.错误参考答案:A9.布局布线为将综合生成的电路逻辑网表映射到具体的目标器件中实现,并产生最终的可下载文件的过程。()A.正确B.错误参考答案:A10.SOC是指把一个完整的系统集成在一个芯片上。()A.正确B.错误参考答案:A11.仿真器按对设计语言的不

3、同处理方式分为两类:编译型仿真器和解释型仿真器。()A.正确B.错误参考答案:A12.Verilog HDL数据类型是用来表示数字电路中的物理连线、数据存储和传输单元等物理量的。()A.正确B.错误参考答案:A13.Verilog HDL中的变量一般分为两种数据类型:net型和variable型。()A.正确B.错误参考答案:A14.Verilog HDL不支持逻辑运算符。()A.正确B.错误参考答案:B15.IP是Intellectual Property的缩写。()A.正确B.错误参考答案:A16.有限状态机的复位分为两种:同步复位和异步复位。()A.正确B.错误参考答案:A17.SPLD

4、器件分为几类()。A.PROMB.PLAC.PALD.GAL参考答案:ABCD18.PLD是一种全定制器件。()A.正确B.错误参考答案:B19.TOP-down设计一般分为哪几个层次()。A.系统级B.功能级C.门级D.开关级参考答案:ABCD20.FPGA是Field Programmable Gate Array,现场可编程门阵列的缩写。()A.正确B.错误参考答案:A21.SRAM是指静态存储器。()A.正确B.错误参考答案:A22.用状态机进行设计具有速度快、结构简单、可靠性高等优点。()A.正确B.错误参考答案:A23.常用的集成FPGA/CPLD开发工具有哪些()。A.MAX+p

5、lus IIB.Quartus IIC.ISED.ispLEVER参考答案:ABCD24.在IC设计领域中,IP核一般完成某种功能的设计模块。()A.正确B.错误参考答案:A25.Verilog HDL支持条件运算符。()A.正确B.错误参考答案:A26.Verilog HDL中assign为持续赋值语句。()A.正确B.错误参考答案:A27.PLD是Programmable Logic Device,可编程逻辑器件的缩写。()A.正确B.错误参考答案:A28.状态机设计主要包含三个对象:当前状态,次状态和输出逻辑。()A.正确B.错误参考答案:A29.EDA技术发展阶段描述正确的是()。A.

6、CAD阶段B.CAE阶段C.EDA阶段D.以上都不对参考答案:ABC30.仿真是EDA的精髓所在。()A.正确B.错误参考答案:A31.Synplify是一种FPGA/CPLD的逻辑综合工具。()A.正确B.错误参考答案:A32.把适配后生成的编程文件装入到PLD器件中的过程称为下载。()A.正确B.错误参考答案:A33.PROM(Programmable Read Only Memory),可编程只读存储器的缩写。()A.正确B.错误参考答案:A34.Verilog HDL支持循环语句。()A.正确B.错误参考答案:A35.浮栅编程元件一般用在民用、消费类产品中。()A.正确B.错误参考答案

7、:A36.ASIC是专用集成电路的缩写。()A.正确B.错误参考答案:A37.Verilog语言的行为描述语句,如条件语句、赋值语句和循环语句类似于软件高级语言,便于学习和使用。()A.正确B.错误参考答案:A38.基于FPGA/CPLD器件的数字系统设计流程包括哪些阶段()。A.设计输入B.综合C.布局布线D.仿真和编程参考答案:ABCD39.按照处理的HDL语言类型,仿真器可以分为()。A.Verilog HDL仿真器B.VHDL HDL仿真器C.混合仿真器参考答案:ABC40.CAE是Computer Aided Engineering,计算机辅助工程的缩写。()A.正确B.错误参考答案

8、:A41.采用原理图方式的数字设计的可重用性、可移植要差一些。()A.正确B.错误参考答案:A42.目前在数字系统的设计中,主要采用Bottom-UP设计为主。()A.正确B.错误参考答案:B43.PLA是Programmable Logic Array,可编程逻辑阵列的缩写。()A.正确B.错误参考答案:A44.Quartus II是Xilinx的FPGA/CPLD的集成开发工具。()A.正确B.错误参考答案:B45.Verilog程序的基本设计单元是“模块”(module)。()A.正确B.错误参考答案:A46.数字设计流程中采用原理图方式适合描述电路的连接关系核接口关系。()A.正确B.错误参考答案:A47.综合有哪几种形式()。A.RTLB.逻辑综合C.将逻辑门表示转换到版图表示参考答案:ABC48.时序仿真也叫后仿真。()A.正确B.错误参考答案:A49.ASIC电路特点描述正确的是()。A.周期长B.投入高C.功耗低D.省面积参考答案:ABCD50.ISP和专用的编程器是FPGA常用的两种编程方式。()A.正确B.错误参考答案:A

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 高等教育 > 习题/试题

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号