福建师范大学21春“电子信息工程”《EDA技术》离线作业(四)辅导答案4

上传人:住在****她 文档编号:319508863 上传时间:2022-06-29 格式:DOCX 页数:6 大小:18.27KB
返回 下载 相关 举报
福建师范大学21春“电子信息工程”《EDA技术》离线作业(四)辅导答案4_第1页
第1页 / 共6页
福建师范大学21春“电子信息工程”《EDA技术》离线作业(四)辅导答案4_第2页
第2页 / 共6页
福建师范大学21春“电子信息工程”《EDA技术》离线作业(四)辅导答案4_第3页
第3页 / 共6页
福建师范大学21春“电子信息工程”《EDA技术》离线作业(四)辅导答案4_第4页
第4页 / 共6页
福建师范大学21春“电子信息工程”《EDA技术》离线作业(四)辅导答案4_第5页
第5页 / 共6页
点击查看更多>>
资源描述

《福建师范大学21春“电子信息工程”《EDA技术》离线作业(四)辅导答案4》由会员分享,可在线阅读,更多相关《福建师范大学21春“电子信息工程”《EDA技术》离线作业(四)辅导答案4(6页珍藏版)》请在金锄头文库上搜索。

1、长风破浪会有时,直挂云帆济沧海。 她福建师范大学21春“电子信息工程”EDA技术离线作业(四)辅导答案一.综合考核(共50题)1.PLD是一种全定制器件。()A.正确B.错误参考答案:B2.状态机可以分为:米里型和摩尔型两类。()A.正确B.错误参考答案:A3.SRAM是指静态存储器。()A.正确B.错误参考答案:A4.JTAG是Joint Test Action Group,联合测试行动组的缩写。()A.正确B.错误参考答案:A5.不考虑信号时延等因素的仿真称为功能仿真。()A.正确B.错误参考答案:A6.目前常用的硬件描述语言为:()。A.VerilogB.VHDLC.VCD.VB参考答案

2、:AB7.采用原理图方式的数字设计的可重用性、可移植要差一些。()A.正确B.错误参考答案:A8.Verilog HDL数据类型是用来表示数字电路中的物理连线、数据存储和传输单元等物理量的。()A.正确B.错误参考答案:A9.常用的综合工具有哪些()。A.FPGA ExpressB.FPGA compilerC.Synplify Pro参考答案:ABC10.Synplify是一种FPGA/CPLD的逻辑综合工具。()A.正确B.错误参考答案:A11.在IC设计领域中,IP核一般完成某种功能的设计模块。()A.正确B.错误参考答案:A12.基于FPGA/CPLD器件的数字系统设计流程包括哪些阶段

3、()。A.设计输入B.综合C.布局布线D.仿真和编程参考答案:ABCD13.Quartus II是Xilinx的FPGA/CPLD的集成开发工具。()A.正确B.错误参考答案:B14.Verilog HDL支持赋值语句。()A.正确B.错误参考答案:A15.Verilog HDL中的变量一般分为两种数据类型:net型和variable型。()A.正确B.错误参考答案:A16.用PLD器件实现设计的优势有哪些()?A.周期短B.投入少C.风险小D.对于成熟的设计往往采用PLD参考答案:ABC17.数字设计流程中采用原理图方式适合描述电路的连接关系核接口关系。()A.正确B.错误参考答案:A18.

4、EDA技术发展阶段描述正确的是()。A.CAD阶段B.CAE阶段C.EDA阶段D.以上都不对参考答案:ABC19.Verilog语言的行为描述语句,如条件语句、赋值语句和循环语句类似于软件高级语言,便于学习和使用。()A.正确B.错误参考答案:A20.SOC是System On Chip,芯片系统的缩写。()A.正确B.错误参考答案:A21.ASIC电路特点描述正确的是()。A.周期长B.投入高C.功耗低D.省面积参考答案:ABCD22.绝大多数的FPGA器件都基于SRAM查找表结构实现。()A.正确B.错误参考答案:A23.常用的集成FPGA/CPLD开发工具有哪些()。A.MAX+plus

5、 IIB.Quartus IIC.ISED.ispLEVER参考答案:ABCD24.Verilog HDL和 VHDL目前还都不是IEEE标准。()A.正确B.错误参考答案:B25.SPLD器件分为几类()。A.PROMB.PLAC.PALD.GAL参考答案:ABCD26.Verilog语言即适合可综合的电路设计,也可胜任电路与系统的仿真。()A.正确B.错误参考答案:A27.仿真分为功能仿真和时序仿真。()A.正确B.错误参考答案:A28.基于EDA技术的设计中,通常有两种设计思路()。A.自顶向下B.自底向上C.自前向后D.自后向前参考答案:AB29.衡量仿真器性能的重要指标有哪些()。A

6、.仿真速度B.仿真的准确性C.仿真的易用性参考答案:ABC30.仿真是EDA的精髓所在。()A.正确B.错误参考答案:A31.时序仿真也叫后仿真。()A.正确B.错误参考答案:A32.IP是Intellectual Property的缩写。()A.正确B.错误参考答案:A33.PLD是Programmable Logic Device,可编程逻辑器件的缩写。()A.正确B.错误参考答案:A34.Verilog HDL中的常量主要有:整数,实数和字符串。()A.正确B.错误参考答案:A35.PLD器件的设计往往采用层次化的设计方法,分模块,分层次地进行设计描述。()A.正确B.错误参考答案:A3

7、6.IP核中的软核与生产工艺无关,不涉及物理实现,为后续设计留有很大空间。()A.正确B.错误参考答案:A37.TOP-down设计一般分为哪几个层次()。A.系统级B.功能级C.门级D.开关级参考答案:ABCD38.CPLD是Complex Programmable Logic Device,复杂可编程逻辑器件的缩写。()A.正确B.错误参考答案:A39.Verilog HDL不支持逻辑运算符。()A.正确B.错误参考答案:B40.PROM(Programmable Read Only Memory),可编程只读存储器的缩写。()A.正确B.错误参考答案:A41.Verilog HDL不支持

8、条件语句。()A.正确B.错误参考答案:B42.浮栅编程元件一般用在民用、消费类产品中。()A.正确B.错误参考答案:A43.CAE是Computer Aided Engineering,计算机辅助工程的缩写。()A.正确B.错误参考答案:A44.Verilog HDL中整数型常量是不可以综合的。()A.正确B.错误参考答案:B45.把适配后生成的编程文件装入到PLD器件中的过程称为下载。()A.正确B.错误参考答案:A46.PLD器件内部主要由各种逻辑功能部件和可编程开关构成。()A.正确B.错误参考答案:A47.用状态机进行设计具有速度快、结构简单、可靠性高等优点。()A.正确B.错误参考答案:A48.混合仿真器就是能同时支持Verilog和VHDL的仿真器。()A.正确B.错误参考答案:A49.ISP和专用的编程器是FPGA常用的两种编程方式。()A.正确B.错误参考答案:A50.按照处理的HDL语言类型,仿真器可以分为()。A.Verilog HDL仿真器B.VHDL HDL仿真器C.混合仿真器参考答案:ABC

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 高等教育 > 习题/试题

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号