基于FPGA多功能波形发生器实验报告含程序

上传人:闪**** 文档编号:290684705 上传时间:2022-05-10 格式:DOCX 页数:4 大小:15.84KB
返回 下载 相关 举报
基于FPGA多功能波形发生器实验报告含程序_第1页
第1页 / 共4页
基于FPGA多功能波形发生器实验报告含程序_第2页
第2页 / 共4页
基于FPGA多功能波形发生器实验报告含程序_第3页
第3页 / 共4页
基于FPGA多功能波形发生器实验报告含程序_第4页
第4页 / 共4页
亲,该文档总共4页,全部预览完了,如果喜欢就下载吧!
资源描述

《基于FPGA多功能波形发生器实验报告含程序》由会员分享,可在线阅读,更多相关《基于FPGA多功能波形发生器实验报告含程序(4页珍藏版)》请在金锄头文库上搜索。

1、本文格式为Word版,下载可任意编辑基于FPGA多功能波形发生器实验报告含程序 基于FPGA的多功能波形发生器 课程设计测验报告 学院: 电气与操纵工程学院 班级: 微电子1101 学号: 1106080118 姓名: 李少飞 日期: 2022.4.2 一、电路主体电路图 二、各模块vhdl代码 三、各模块仿真结果 四、测验感悟 一、测验主体电路 二、各模块 vhdl代码 三角 LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.all; ENTITY sanjiao IS PORT ( add

2、ress : IN STD_LOGIC_VECTOR (7 DOWNTO 0); inclock : IN STD_LOGIC ; q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0) ); END sanjiao; ARCHITECTURE SYN OF sanjiao IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (7 DOWNTO 0); COMPONENT altsyncram GENERIC ( clock_enable_input_a : STRING; clock_enable_output_a : STRING; init_

3、file : STRING; intended_device_family : STRING; lpm_hint : STRING; lpm_type : STRING; numwords_a : NATURAL; operation_mode : STRING; outdata_aclr_a : STRING; outdata_reg_a : STRING; widthad_a : NATURAL; width_a : NATURAL; width_byteena_a : NATURAL ); PORT ( clock0 : IN STD_LOGIC ; address_a : IN STD

4、_LOGIC_VECTOR (7 DOWNTO 0); q_a : OUT STD_LOGIC_VECTOR (7 DOWNTO 0) ); END COMPONENT; BEGIN q clock_enable_output_a = init_file = intended_device_family = lpm_hint = lpm_type = numwords_a = 256, operation_mode = outdata_aclr_a = outdata_reg_a = widthad_a = 8, width_a = 8, width_byteena_a = 1 ) PORT

5、MAP ( clock0 = inclock, address_a = address, q_a = sub_wire0 ); END SYN; -正弦 LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.all; ENTITY sinx IS PORT ( address : IN STD_LOGIC_VECTOR (7 DOWNTO 0); inclock : IN STD_LOGIC ; q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0) ); END sinx;

6、ARCHITECTURE SYN OF sinx IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (7 DOWNTO 0); COMPONENT altsyncram GENERIC ( clock_enable_input_a : STRING; clock_enable_output_a : STRING; init_file : STRING; intended_device_family : STRING; lpm_hint : STRING; lpm_type : STRING; numwords_a : NATURAL; operation_mode

7、: STRING; outdata_aclr_a : STRING; outdata_reg_a : STRING; widthad_a : NATURAL; width_a : NATURAL; width_byteena_a : NATURAL ); PORT ( clock0 : IN STD_LOGIC ; address_a : IN STD_LOGIC_VECTOR (7 DOWNTO 0); q_a : OUT STD_LOGIC_VECTOR (7 DOWNTO 0) ); END COMPONENT; BEGIN q clock_enable_output_a = init_file = intended_device_family = lpm_hint = lpm_type = numwords_a = 256, operation_mode = outdata_aclr_a = outdata_reg_a = 4

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 大杂烩/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号