2022年EDA课设分析方案--信号发生器的设计

上传人:资**** 文档编号:269170372 上传时间:2022-03-22 格式:DOCX 页数:21 大小:396.75KB
返回 下载 相关 举报
2022年EDA课设分析方案--信号发生器的设计_第1页
第1页 / 共21页
2022年EDA课设分析方案--信号发生器的设计_第2页
第2页 / 共21页
2022年EDA课设分析方案--信号发生器的设计_第3页
第3页 / 共21页
2022年EDA课设分析方案--信号发生器的设计_第4页
第4页 / 共21页
2022年EDA课设分析方案--信号发生器的设计_第5页
第5页 / 共21页
点击查看更多>>
资源描述

《2022年EDA课设分析方案--信号发生器的设计》由会员分享,可在线阅读,更多相关《2022年EDA课设分析方案--信号发生器的设计(21页珍藏版)》请在金锄头文库上搜索。

1、精品学习资源集成电路 VHDL 设计 课程设计报告设计题目专业班级:电信 0901设 计 者: 03庄威 06邓智超 42 郭乐安 指导老师:蔡剑华设计时间: 2021-06-23目录摘要 .2Abstract.3绪论 .41. V H D L 简介 51.1 VHDL的特点 51.2 VHDL进展史 52. 设计的方案确定62.1 AD558工作原理 62. 2设 计方 案 73. 设计流程 .8 4.终止语 145. 参考文献 .15附录.16摘要本说明书第一介绍了 VHDL 语言的特点及进展史;接着简要说明白D/A 接口(函数发生器)的工作原理及设计思想和设计方案的确定;然后着重说明白使

2、用 VHDL 语言设计 D/A 接口(函数发生器)的详细操作步骤及主要流程;为了更加详细的说明清楚主要流程在本课程设计说明书中仍附加了相应的图片;最终仍附加了实现设计的 VHDL 源程序;关键词: VHDLD/A接口 设计Abstract欢迎下载精品学习资源This manual introduces the VHDL language features and development histo;ry followed by a brief description of the D/A interface and the working principle and design ideas

3、and the way that the design program was confirm;ed and then I explain the emphasis on the use of VHDL language to design D/A interface and the specificsteps and the main process. In order to explain in more details of the main process I also attached the corresponding pictures. Finally I added the V

4、HDL design source codes in the addendum.Keywords: VHDL D/A Interface Design绪论EDA 是电子设计自动化 Electronic Design Automation的缩写; EDA 技术就是依靠功能强大的运算机,在 EDA 工具软件平台上,对以硬件描述语言HDLHardware Description Language为系统规律描述手段完成的设计文件,自动地完成规律编译、化简、分割、综合、布局布线以及规律优化和仿真测试,直至实现既定的电子线路系统功能; EDA 技术使设计者的工作仅局限于利用软件的方式来完成对系统硬件功能的

5、实现,可以说EDA 技术的产生与进展是电子设计技术的一个巨大进步; EDA 技术融合了众多电子设计技术和运算机帮忙技术,使得它在现代电子学方面的应用越来越广泛,也成为电子、电气类高校生必需娴熟把握的一种设计工具;硬件描述性语言 HDL 是 EDA 技术的重要组成部分,常见 HDL 的有VHDL 、HDL 、ABEL 、Verilog、AHDL 、SystemC等;其中 VHDL 、Verilog 在现在的 EDA 设计中使用的最多,也拥有了几乎全部主流EDA 工具的支持,而相对于其他语言 VHDL 更加完善; VHDL 是英文全名是 VHSICVery HighSpeed Integrated

6、 Circuit Hardware Description Language是, 硬件描述语言的业界标准之一;它作为一个规范语言和建模语言,具有与详细硬件电路无关及设计平台无关的特性,而且仍有很强的电路行为描述和建模才能,能从多个层次的数字系统进行建模和描述,从而大大简化了硬件设计的任务,提高了设计效率和牢靠性;D/A 转换器的功能是把二进制数字信号转换为与其数值成正比的模拟信号;AD558 是并行 8 位 D/A 转换芯片,应用 CPLD 可以完成对 AD558 的控欢迎下载精品学习资源制;CPLD 与 CPLD 结合之后可以完成函数发生器的基本功能:波形输出;要实现这种结合就需要应用 VH

7、DL 语言完成 D/A 接口的设计;通过合适的 VHDL语言可以完成递增斜波、递减斜波、三角波、递增阶梯波的输出;1. VHDL简介1.1 VHDL 的特点VHDL 语言功能强大、设计灵敏;VHDL 语言可以用简洁明确的源代码来描述复杂的规律把握,它具有多层次的设计描述功能,层层细化,最终可直接生成电路级描述; VHDL 支持同步电路、异步电路和随机电路的设计, 这是其他硬件描述语言虽不能比拟的;VHDL 仍支持多种设计方法,既支持自底向上的设计,又支持自顶向下的设计;既支持模块化设计,又支持层次化设计;由于 VHDL 已经成为 IEEE 标准所规定的硬件描述性语言,目前大多数 EDA 工具几

8、乎都支持VHDL ;由于 VHDL 易读和结构化且易于修改设计所以在硬件电路设计过程中,VHDL 语言得到广泛应用;VHDL 语言独立于器件的设计与工艺无关;因而设计人员用VHDL 进行设计时,不需要考虑选择器件得问题,就可以集中精力进行设计的优化;当设计描述完成后,可以用多种不同的器件结构来实现其功能;VHDL 语言易于共享和复用; VHDL 接受基于库( Library )的设计方法,可以建立各种可再次利用的模块;这些模块可以预先设计或使用以前设 计中的存档模块,将这些模块存放到库中,就可以在以后的设计中进行复用,可以使设计成果在设计人员之间进行沟通和共享,削减硬件电路设计;VHDL 丰富

9、的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟;VHDL 语句的行为描述才能和程序结构准备了它具有支持大规模设计的分解和已有设计的再利用功能;这个特点很好的符合了市场需求;对于用VHDL 完成的一个确定的设计,可以利用 EDA 工具进行规律综合和优化,并自动的把 VHDL 描述的设计转变成门级网表;总之,由于 VHDL 语言有的这些优良的特点,它被广泛的应用在电子线路和电子系统的设计中;1.2 VHDL 进展史欢迎下载精品学习资源VHDL 于 1983 年由美国国防部发起创建,由IEEE 进一步进展,并在1987 年作为“ IEEE 标准

10、1076”发布;从今 VHDL 成为硬件描述语言的业界标准之一;随后各 EDA 公司相继推出了自己的VHDL 设计环境,或宣布自己的设计工具支持 VHDL ;此后 VHDL 在电子设计领域得到了广泛的接受, 并逐步取代了原有的非标准的硬件描述语言;1993 年, IEEE 对 VHDL 进行了修订,从更高的抽象层次和系统描述才能上扩展了 VHDL 的内容,公布了新版本的VHDL ,即 IEEE 标准的 1076- 1993 版本;现在, VHDL 和 Verilog 作为 IEEE 的工业标准硬件描述语言,得到众多 EDA 公司的支持,在电子工程领域,已成为事实上的通用硬件描述语 言;现在公布

11、的最新 VHDL 标准版本是 IEEE1076-2002 ;在现代电子行业中, VHDL 语言将承担起大部分的数字系统设计任务;目前,它在中国的应用多数是用在FPGA/CPLD/EPLD的设计中,当然在一些实力较为雄厚的单位,它也被用来设计ASIC ;2. 设计方案的确定2.1 AD558 工作原理A/D 转换器和 D/A 转换器是把微型运算机的应用领域扩展到检测和过程把握的必要装置,是把运算机和生产过程、科学试验过程联系起来的重要桥梁;D/A 转换器的功能是把二进制数字信号转换为与其数值成正比的模拟信号;D/A 转换器相对于 A/D 转换器在时序上要求较低;在 D/A 参数中一个最重要的参数

12、就是辨论率,它是指输入数字量发生单位数码变化时,所对应输出模拟量电压或电流 的变化量;辨论率是指输入数字量最低有效位为1 时,对应输出可辨论的电压变化量 U 与最大输出电压 Um 之比;D/A 转换器 AD558 是 EDA 试验箱上自带的并行 8 位 D/A 转换芯片,它可以把输入的 8 位数字量转化为 02.56V 的电压量,它与 CPLD 器件联合使用可以产生几种波形;其芯片管脚外形和内部结构框图分别如图 1、图 2 所示:欢迎下载精品学习资源图 1 AD558 芯片管脚外形图图 2 AD558 内部结构框图AD558 的真值表如图 3 所示;由真值表可知:当 CS 为低电平、 CE 为

13、电平常, AD558 保持上次的转换结果;当 CS 和 CE 同时为电平常,通过数据总线D7.0 读入数据,同时讲转换结果输出;图 3. AD558 真值表欢迎下载精品学习资源2.2 设计方案本次 D/A 接口(函数发生器)的设计中,转换结果是时时输出的;依据对AD558 真值表的分析可知:当 CE 和 CS 同时置 0时 AD558 的工作模式为时时输出;因此在本次设计中我只需要将CE 和 CS 同时置 0即可;依据对AD558 功能的分析可知:利用 VHDL 语言编写源程序通过 CPLD 完成对 AD558 的把握,并与 AD558 结合可以完成四种波形的产生;详细设计方案如下:利用 VH

14、DL 语言设计 0255 循环加法计数器、 2550 循环减法计数器、 01280 循环加减计数器、 0224 等梯度循环加法计数器便可分别完成递增斜波、递减斜波、三角波、递增阶梯波的输出;每个计数器仍设计了片选端和清零端;当清零端为0 时计数器复原为初始状态;为了实现输出波形模式的选择,在本次设计中我使用了一个四选一选择器:输入为2 位规律数组输出为 4 个一位规律量;每一种输入状态对于于一种输出状态,每个状态有且仅有一位为 1,其余 3 位皆为 0,每个输出量与一种计数器的片选端相连接,即每个输入状态都只选中一种输出状态;由于 CPLD 试验箱上没有 D/A 转换器,为了显示设计结果检验设计成果我准备使用 7 段数码管显示产生波形的数字量;由于输出范畴为0255,在十六进制数 0HEEH 的范畴内,而且试

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 中学教育 > 高中教育

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号