EDA课程设计报告8线-3线优先编码器

上传人:慢*** 文档编号:233026073 上传时间:2022-01-01 格式:DOC 页数:5 大小:184KB
返回 下载 相关 举报
EDA课程设计报告8线-3线优先编码器_第1页
第1页 / 共5页
EDA课程设计报告8线-3线优先编码器_第2页
第2页 / 共5页
EDA课程设计报告8线-3线优先编码器_第3页
第3页 / 共5页
EDA课程设计报告8线-3线优先编码器_第4页
第4页 / 共5页
EDA课程设计报告8线-3线优先编码器_第5页
第5页 / 共5页
亲,该文档总共5页,全部预览完了,如果喜欢就下载吧!
资源描述

《EDA课程设计报告8线-3线优先编码器》由会员分享,可在线阅读,更多相关《EDA课程设计报告8线-3线优先编码器(5页珍藏版)》请在金锄头文库上搜索。

1、Xxxxx 学院EDAEDA 技术技术课程报告课程报告设计题目:设计题目:8 8 线线-3-3 线优先编码器线优先编码器 班班 级:级: 应用电子应用电子 11011101 班班 姓姓 名:名: 学学 号:号: 指导老师:指导老师: 日日 期:期: 目录目录一、一、8-38-3 优先编码器设计原理分析优先编码器设计原理分析 .3 3二、二、8-38-3 优先编码器模块的源程序优先编码器模块的源程序 .3 3三、三、8-38-3 优先编码器仿真结果优先编码器仿真结果 .4 4四、设计总结和心得体会四、设计总结和心得体会.5 5五、参考资料五、参考资料.5 5一、8-3 优先编码器设计原理分析8-

2、3 优先编码器输入信号为 din0,din1,din2,din3,din4,din5,din6和 din7,输出信号为 out2、out1、out0。输入信号中 din7 的优先级别最低,依次类推,din0 的优先级别最高。也就是说若 din0 输入为 1(即为高电平)则无论后续的输入信号怎么样,对应的这种状态一样,如若 din0 输入为 0(即为低电平)则看优先级仅次于 din0 的 din1 状态决定,依次类推。因为 din0 到din7 共 8 中状态,可以用 3 位二进制编码来表示。8-3 优先编码器真值表如下表所示。表 1 8-3 优先编码器真值表 二、8-3 优先编码器模块的源程序

3、8-3 优先编码器由 VHDL 程序来实现,VHDL语言描述如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY coder ISPORT ( din : IN STD_LOGIC_VECTOR(0 TO 7);output : OUT STD_LOGIC_VECTOR(0 TO 2);EANABLE: in std_logic );END coder;ARCHITECTURE behav OF coder ISSIGNAL SINT : STD_LOGIC_VECTOR(4 DOWNTO 0);BEGINPROCESS (din)BEGINIF

4、 (EANABLE=0) THENIF (din(0)=1) THEN output = 000 ; 输 入 输 出din0din1din2din3din4din5din6din7out0out1out21xxxxxxx00001xxxxxx100001xxxxx0100001xxxx11000001xxx001000001xx1010000001x01100000001011ELSIF (din(1)=1) THEN output = 100 ;ELSIF (din(2)=1) THEN output = 010 ;ELSIF (din(3)=1) THEN output = 110 ;EL

5、SIF (din(4)=1) THEN output = 001 ;ELSIF (din(5)=1) THEN output = 101 ;ELSIF (din(6)=1) THEN output = 011 ;ELSE output = 111 ;END IF ;ELSE output =ZZZ;END IF; END PROCESS ;END behav; 三、8-3 优先编码器仿真结果8-3 优先编码器由 VHDL 程序实现后,其仿真图如图 2-1 所示。图 1 8-3 优先编码器功能仿真图对其仿真图进行仿真分析:din 为输入信号组,它由 din7-din0 八个输入信号组成。outp

6、ut 为输出信号组, 它由 output2-output0 三个二进制代码输出信号组成。enable 为使能端,当 enable 为 0 时编码器工作,当使能端为 1时输出高阻状态。当 din0 为 1 时,即输入为:1*时,输出 111,当 din0为 0 时,输出由优先级仅次于 din0 的 din1 决定,即输入为:01*时,输出 110,紧接着依次类推,分别得出输入为:001*时,输出 101,输入为:0001*时,输出 100,输入为 00001*时,输出为 011。到此为止由于使能段变为高电平,输出信号为高阻状态,但可以推断出当输入为 000001*时,输出 010,输入为 000

7、0001*时,输出 001,输入为 00000001 时,输出为 000。四、设计总结和心得体会通过本次课程设计的学习,我深深的体会到设计课的重要性和目的性所在。本次设计课不仅仅培养了我们实际操作能力,也培养了我们灵活运用课本知识,理论联系实际,独立自主的进行设计的能力。它不仅仅是一个学习新知识新方法的好机会,同时也是对我所学知识的一次综合的检验和复习,使我明白了自己的缺陷所在,从而查漏补缺。希望学校以后多安排一些类似的实践环节,让同学们学以致用。在设计中要求我要有耐心和毅力,还要细心,稍有不慎,一个小小的错误就会导致结果的不正确,而对错误的检查要求我要有足够的耐心,通过这次设计和设计中遇到的

8、问题,也积累了一定的经验,对以后从事工作会有一定的帮助。在应用 VHDL 的过程中让我真正领会到了其在电路设计上的优越性。用VHDL 硬件描述语言的形式来进行数字系统的设计方便灵活,利用 EDA 软件进行编译优化仿真极大地减少了电路设计时间和可能发生的错误,降低了开发成本,这种设计方法必将在未来的数字系统设计中发挥越来越重要的作用。五、参考资料1 杨刚,龙海燕.现代电子技术-VHDL 与数据系统设计.北京:电子工业出版社,20042 黄仁欣.EDA 技术实用教程.北京:清华大学出版社,20063 潘松.VHDL 实用教程M.成都:电子科技大学出版社,20004 李国丽,朱维勇.电子技术实验指导书.合肥:中国科技大学出版社,20005 宋振辉. EDA 技术与 VHDL.北京:北京大学出版社,20085 宋振辉. EDA 技术与 VHDL.北京:北京大学出版社,20086王锁萍. 电子设计自动化(EDA)教程.成都:电子科技大学出版社,2001

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 中学教育 > 其它中学文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号