基于verilog的设计

上传人:gg****m 文档编号:217463857 上传时间:2021-12-02 格式:DOC 页数:19 大小:642.04KB
返回 下载 相关 举报
基于verilog的设计_第1页
第1页 / 共19页
基于verilog的设计_第2页
第2页 / 共19页
基于verilog的设计_第3页
第3页 / 共19页
基于verilog的设计_第4页
第4页 / 共19页
基于verilog的设计_第5页
第5页 / 共19页
点击查看更多>>
资源描述

《基于verilog的设计》由会员分享,可在线阅读,更多相关《基于verilog的设计(19页珍藏版)》请在金锄头文库上搜索。

1、wiiaTiiuir of .KOihii mho基于Verilog的课程设计直流电机的PV則控制指导老师:翁嘉民班級:1031电气自动化技术成员:李高峰201020709112王俊才 201020709186孟令朋 201020709143目录1. 绪论21.1直流电机介绍21.1.1直流电机的特点31. 1.2 H流电机的应用31.2EDA 介绍 31.3FPGA 介绍 31.4 Verilog HDL硬件描述语言41.4.1 Verilog HDL硬件描述语言介绍41.4.2 Verilog HDL 功能 41.5 PWM脉冲宽度调制介绍51.6直流电机的PWM控制52. 设计原理52.

2、1设计原理框I冬I 52.2原理图 62.3模块设计72.3.1 rra)to_test 模块 72. 3. 2 pwmjogic 模块 9计模it器模12 142.3.3 频火2.3.4 计2.3.3频率计模块112.3.4计数器模块123. 仿真结果14引脚锁定5. 论文总结156. 实物图167.实训心得17参考文献直流电机的PWM控制器的设计1. 绪论1.1直流电机介绍直流电机是实现直流电能与机械能之间相互转换的一种电力机械,按照直流电机 的用途分为直流电动机和直流发电机两类。能够将机械能转换成直流电能的电机 称为直流发电机;能够将直流电能转换成机械能的电机称为直流电动机。1.1.1直

3、流电机的特点从直流电机与交流电机相比中可以看出,直流电机具有优良的调速性能和启动性 能。直流电机具有宽广的调速范围,平滑的无级调速特性,可实现频繁的无级快 速启动、制动和反转;过载能力大,能承受频繁的冲击负载;能满足自动化生产 系统中各种特殊运行的要求。而直流发电机则能提供无脉动的大功率直流电源, 且输出电压可以精确地调节和控制。1.1. 2直流电机的应用直流电机是交通、工矿、建筑等行业中的常见动力机械,是机电行业人员的重要 工作对象和工具。在某些要求调速范围广、速度快、精密度高、控制性能优异的 场合,直流电机的应用目前仍占有较大的比重,如大型可逆式轧钢机、内燃机车、 矿井卷扬机、造纸和印刷机

4、械、宾馆高速电梯、城市电车、电动自行车、龙门刨 床、电力机车、地铁列车、船舶机械、大型精密机床和大型起重机等生产机械中。1.2EDA介绍EDA电子设计自动化是Electronic Design Automation的简称,从20世纪60年 代中期发展幵始至今,已经取得了飞跃性的进步,在电子技术设计领域,可编程 逻辑器件(如CPLD、FPGA)的应用,已经非常普及,这些可编程逻辑器件为 数字系统的设计带来了相当大的灵活性。这些器件可以通过软件编程而对其硬件 结构和工作方式进行重构和改变,这就使得硬件的设计可以如同软件设计那样方 便快捷效率高,可编程逻辑器件加快了 EDA技术的发展,在现代社会,尤

5、其是 电子信息科技行业发展突飞猛进,在化工、机械、电子、通信、医学、航空航天、 矿产、生物、军事等各个领域都有EDA的广泛应用,相信在为了社会EDA技 术会发展的更加发达。1.3FPGA 介绍FPGA是Field - Programmable Gate Array的简称,即现场可编程门阵列,它是 在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用 集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不 足,又克服了原有可编程器件门电路数有限的缺点。FPGA采用了逻辑单元阵列, 内部包括可配置逻辑模块、输出输入模块和内部连线三个部分。FPGA具有一些

6、重要的特点,例如:采用FPGA设计ASIC电路(专用集成电路),用户不需要投 片生产,就能得到合用的芯片;FPGA可做其它全定制或半定制ASIC电路的中 试样片;FPGA内部有丰富的触发器和I / O引脚;FPGA是ASIC电路中设计周 期最短、开发费用最低、风险最小的器件之一;FPGA采用高速CHMOS工艺, 功耗低,可以与CMOS、TTL电平兼容。所以可以这么认为,FPGA芯片是小批 量系统提高系统集成度、可靠性的最佳选择之一。FPGA是由存放在片内RAM中 的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。用户 可以根据不同的配置模式,采用不同的编程方式。加电时,FPGA

7、芯片将EPROM 中数据读入片内编程RAM中,配置完成后,FPGA进入工作状态。掉电后,FPGA 恢复成白片,内部逻辑关系消失,因此,FPGA能够反复使用。FPGA的编程无 须专用的FPGA编程器,只须用通用的EPROM、PROM编程器即可。当需要修 改FPGA功能时,只需换一片EPROM即可。这样,同一片FPGA ,不同的编程 数据,可以产生不同的电路功能。因此,FPGA的使用非常灵活。1.4 Verilog HDL硬件描述语言1.4.1 Verilog HDL硬件描述语言介绍本文的程序采用Verilog HDL硬件描述语言,Verilog HDL硬件描述语言时目前 应用最广泛的硬件描述语言

8、,Verilog HDL可以用来进行各种层次的逻辑设计, 也可以进行数字系统的逻辑综合,仿真验证和时序分析等。Verilog HDL适合算 法级,寄存器级,逻辑级,门级和版图级等各个层次的设计和描述。本文就是基 于FPGA,产生PWM波形,通过Verilog HDL语言编程实现电机的运转控制的。1.4.2 Verilog HDL 功能Verilog HDL典型的功能,基本逻辑门,例如a n d、o r和n a n d等都内置在 语言中。用户定义原语(U D P)创建的灵活性。用户定义的原语既可以是 组合逻辑原语,也可以是时序逻辑原语。开关级基本结构模型,例如p m o s 和n m o s等也

9、被内置在语言中。提供显式语言结构指定设计中的端口到端口 的时延及路径时延和设计的时序检查。可采用三种不同方式或混合方式对设计 建模。这些方式包括:行为描述方式一使用过程化结构建模;数据流方式一使用 连续赋值语句方式建模;结构化方式一使用门和模块实例语句描述建模。Verilog HDL中有两类数据类型:线网数据类型和寄存器数据类型。线网类型表示构件 间的物理连线,而寄存器类型表示抽象的数据存储元件。能够描述层次设计, 可使用模块实例结构描述任何层次。设计的规模可以是任意的;语言不对设计 的规模(大小)施加任何限制。Verilog HDL不再是某些公司的专有语言而是I E E E标准。人和机器都可

10、阅读Verilog语言,因此它可作为EDA的工具和 设计者之间的交互语言。Verilog HDL语言的描述能力能够通过使用编程语言接 口( P L I)机制进一步扩展。P L I是允许外部函数访问Verilog模块内信息、 允许设计者与模拟器交互的例程集合。设计能够在多个层次上加以描述,从开 关级、门级、寄存器传送级(RT L)到算法级,包括进程和队列级。能够使用 内置开关级原语在开关级对设计完整建模。同一语言可用于生成模拟激励和指 定测试的验证约束条件,例如输入值的指定。Verilog HDL能够监控模拟验证 的执行,即模拟验证执行过程中设计的值能够被监控和显示。这些值也能够用于 与期望值比

11、较,在不匹配的情况下,打印报告消息。在行为级描述中,Verilog HDL不仅能够在RT L级上进行设计描述,而且能够在体系结构级描述及其算 法级行为上进行设计描述。能够使用门和模块实例化语句在结构级进行结构描 述。在Verilog HDL的混合方式建模能力,即在一个设计中每个模块均可以在 不同设计层次上建模。Verilog HDL还具有内置逻辑函数,例如& (按位与) 和I (按位或X对高级编程语言结构,例如条件语句、情况语句和循环语句,语言中都可以使用。可以显式地对并发和定时进行建模。提供强有力的文件读 写能力。1.5 PWM脉冲宽度调制介绍脉冲宽度调制是一种模拟控制方式,其根据相应载荷的

12、变化来调制晶体管棚极或 基极的偏置,来实现幵关稳压电源输出晶体管或晶体管导通时间的改变,这种方 式能使电源的输出电压在工作条件变化时保持恒定,是利用微处理器的数字输出 来对模拟电路进行控制的一种非常有效的技术。PWM控制技术以其控制简单, 灵活和动态响应好的优点而成为电力电子技术最广泛应用的控制方式,也是人们 研究的热点。脉冲宽度调制(PWM)是一种对模拟信号电平进行数字编码的方 法。通过高分辨率计数器的使用,方波的占空比被调制用来对一个具体模拟信号 的电平进行编码。PWM信号仍然是数字的,因为在给定的任何时刻,满幅值的 直流供电要么完全有(ON),要么完全无(OFF)。电压或电流源是以一种通

13、(ON) 或断(OFF)的重复脉冲序列被加到模拟负载上去的。通的时候即是直流供电被加 到负载上的时候,断的时候即是供电被断开的时候。只要带宽足够,任何模拟值 都可以使用PWM进行编码。1.6直流电机的PWM控制PWM信号可以由CPU产生,也可以由FPGA产生。由CPU产生PWM信号时, 是通过模拟比较器产生的,比较器的一端接一个给定的参考电压,另一端接周期 性线性增加的锯齿波电压。当锯齿波电压小于参考电压时输出低电平,当锯齿波 电压大于参考电压时就输出高电平。所以改变参考电压就可以改变PWM波形的 高电平的宽度,也就是改变PWM波形的占空比。CPU产生PWM信号,需要 D/A转换器产生锯齿波电

14、压和设置参考电压,通过外接模拟比较器输出PWM , 因此外围电路很复杂。而用FPGA的数字PWM控制产生PWM信号,只需要 FPGA内部资源就可以实现,FPGA的优点和工作原理前文已经描述,运用可编 程逻辑器件,采用Verilog HDL硬件描述语言编程。数字比较器的一端接设定值 计数器输出,另一端接线性递增计数器输出。如果线性计数器的计数值小于设定 值是就输出低电平,如果线性计数器的计数值大于设定值时输出高电平。FPGA 的数字PWM控制与模拟PWM控制相比,省去了外接的D/A转换器和模拟比较 器,FPGA外部连线很少、电路简单、便于控制。2.设计原理2. 1设计原理枢图PWM波产生模块速度

15、控制duiy_cydc|3:0|启动/停止 X翰入W、x PWMenx pVM outelk按键拧制PWMJnduiy_cyde|3:0|PUMenc cikxmotoamotohkey|2:0|Lcd|4:0|-z:PWM波翰入直流电控制模块正姆反转途度蝓入clkpvvd in011(4-elkdig|7:0|wg|7:0|:X*testfr?_inputLed足示计数模块数码管显示 消抖模块直流电机控制电路主要由3部分组成:FPGA中产生PWM脉宽调制信号电路FPGA中的工作/停止和正/反转方向控制电路记速显示模块计数器设置PWM信号的占空比。通过设定duty_cycle的值改变占空比,当 pwm_en =1时,counter计数器的输出值增加,当计数器的值小于duty_cycle时, PWM的占空比就会增加,电机转速就会加快;否则PWM的占空比减小,电机 转速就会变慢。在电机控制模块里,设置了按键消抖部分,防止按键的不灵敏带 来的误差,同时设置了 3个按键,通过按键1控制电机速度,按键1可以改变 duty_cycle的值,近而通过p

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 其它办公文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号