简易售货机的设计-数字逻辑课程设计报告(共12页)

上传人:des****85 文档编号:215695054 上传时间:2021-11-26 格式:DOC 页数:12 大小:201KB
返回 下载 相关 举报
简易售货机的设计-数字逻辑课程设计报告(共12页)_第1页
第1页 / 共12页
简易售货机的设计-数字逻辑课程设计报告(共12页)_第2页
第2页 / 共12页
简易售货机的设计-数字逻辑课程设计报告(共12页)_第3页
第3页 / 共12页
简易售货机的设计-数字逻辑课程设计报告(共12页)_第4页
第4页 / 共12页
简易售货机的设计-数字逻辑课程设计报告(共12页)_第5页
第5页 / 共12页
点击查看更多>>
资源描述

《简易售货机的设计-数字逻辑课程设计报告(共12页)》由会员分享,可在线阅读,更多相关《简易售货机的设计-数字逻辑课程设计报告(共12页)(12页珍藏版)》请在金锄头文库上搜索。

1、精选优质文档-倾情为你奉上石家庄经济学院华信学院数字逻辑课程设计报告题 目 简易售货机的设计 姓 名 学 号 班 号 指导老师 成 绩 目 录1. 课程设计目的2. 开发工具选择3. 设计方案 4 模块描述5. VHDL实现6. 调试仿真7. 课程设计回顾总结 参 考 文 献 附录 1课程设计目的 (1)设计一个简易售货机,可销售巧克力(0.5元)、纯净水(1元)、牛奶(1.5元)、汉堡(2元)4种商品,数量无限。(2)只能投入1元或5角硬币,如果投的钱数等于或则大于所购买的商品单价,则自动售货机会给出所购买的商品;如果投的钱数不够,自动售货机不做响应,继续等待顾客的下次操作。两个输出口分别输

2、出购买的商品和找零,零币无限。(3)有两个LED数码管显示已经投入的币值或找零数。顾客一次只能购买一种商品的一个,若需要更多商品,需要重复操作。2开发工具选择(1)硬件描述语言简易售货机的设计采用了功能强大的VHDL语言,它具有很强的行为能力描述,设计方法灵活,可以支持库和模块设计方法。 (2)QuartusII软件开发工具 本设计采用的软件开发工具是美国的Altera公司的QuartusII,它支持多种设计输入方法,包括原理图输入、文本输入。 (3)EDA实验开发系统 本设计采用的EDA实验开发系统,主要用于提供可编程逻辑器件的下载电路及EDA实验开发的外围资源,供硬件验证用。3设计方案图

3、3-1状态转换图 图 3-2操作流程图4模块描述(1) 模块一:选择商品 分别有价格为0.5元、1元、1.5元和2元的商品,此自动售货机每一次售货时只能一次选择一种商品,当同时选择两种以上时,选择商品无效,重新进行商品选择。选择商品后,数码管显示所选商品价格。(2) 模块二:投币 当选好商品后,开始投币。投币口只接受0.5元、1元,投完币后,先有一个确认买商品的过程,若投了币但又不购买商品了,进入退钱的模块;若确认购买商品,则进入出货找零模块。(3) 模块三:退钱、出货找零 投币后取消购买,退回投入的硬币。 投完币,若大于等于商品价格,进入找零模块,两者做差,得到需要找零的钱。5 VHDL实现

4、1选择商品模块(1)选择商品模块的元件图符(2)选择商品模块的VHDL描述 LIBRARY ieee;USE ieee.std_logic_1164.ALL;USE ieee.std_logic_unsigned.ALL;ENTITY select_goods ISPORT(reset,en,chocolate,water,milk,humberger: INSTD_LOGIC;price: OUTinteger range 0 to 20);END select_goods; ARCHITECTURE arc1 OF select_goods ISsignal goods:std_logic

5、_vector(3 downto 0);BEGINgoods=chocolate&water&milk&humberger; process(reset,en) begin IF reset=1 THEN pricepricepricepricepriceprice=0; end case; end if; end process;END arc1;2.投币模块 (1)投币模块的元件图符 (2)投币模块的VHDL描述 LIBRARY ieee;USE ieee.std_logic_1164.ALL;USE ieee.std_logic_arith.ALL;USE ieee.std_logic_

6、unsigned.ALL;ENTITY coin ISPORT(reset,clk,en,coin5,coin10: INSTD_LOGIC; total:bufferinteger range 0 to 30);END coin;ARCHITECTURE arc1 OF coin ISbeginprocess(clk,reset) begin if (reset=1 and en=0) then total=0; else if clk event and clk=1 then if en=1 then if coin5=1 then total=total+5; elsif coin10=

7、1 then total=total+10; end if; end if; end if; end if; end process; END arc1;3退钱、出货找零模块 (1)退钱、出货找零模块的元件图符 (2)退钱、出货找零模块的VHDL描述LIBRARYieee;USEieee.std_logic_1164.ALL;USEieee.std_logic_unsigned.ALL;ENTITYcontrolISPORT(clk,start,ok_buy,cancle_buy :IN STD_LOGIC;prices,coins :IN integerrange0to30;engoods,

8、encoin,clrcoin,outgoods :OUT STD_LOGIC;backcoins:OUT integerrange0to20);ENDcontrol;ARCHITECTUREarc1OFcontrolIStypestate_typeis(salesgoods,incoins,outgoods_coin,back_coin);signalstate:state_type;BEGINprocess(start,clk)beginifstart=1thenstateifprices/=0thenstateif(coins=pricesandok_buy=1)thenstate=out

9、goods_coin;elsestatestateengoods=1;encoin=0;clrcoin=1;outgoods=0;backcoinsengoods=0;encoin=1;clrcoin=0;outgoods=0;backcoinsifcoins=pricesthenengoods=0;encoin=0;clrcoin=0;outgoods=1;backcoins=coins-prices;elseengoods=0;encoin=0;clrcoin=0;outgoods=0;backcoinsifcoins=pricesandok_buy=1thenengoods=0;enco

10、in=0;clrcoin=0;outgoods=1;backcoins=coins-prices;elseengoods=0;encoin=0;clrcoin=0;outgoods=0;backcoins=coins;endif;endcase;endprocess;ENDarc1;6调试仿真1选择商品模块图 6-1选择商品模块的功能仿真波形图说明:当en=1时,选择humberger,此商品的价格为2元,prices=20。当en=0时,商品选择模块禁止工作, prices保持不变。2投币模块图 6-2投币模块的功能仿真波形图说明:当en=1时,投入一枚5角、一枚1元、一枚5角硬币,总币值为20即2元。3退钱、出货找零模块 图 6-3退钱的功能仿真波形图说明:选择商品的价格prices为10,投入币值coi

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 教学/培训

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号