HDL第二次实验实验报告

上传人:ss****gk 文档编号:209183479 上传时间:2021-11-09 格式:DOC 页数:5 大小:342.95KB
返回 下载 相关 举报
HDL第二次实验实验报告_第1页
第1页 / 共5页
HDL第二次实验实验报告_第2页
第2页 / 共5页
HDL第二次实验实验报告_第3页
第3页 / 共5页
HDL第二次实验实验报告_第4页
第4页 / 共5页
HDL第二次实验实验报告_第5页
第5页 / 共5页
亲,该文档总共5页,全部预览完了,如果喜欢就下载吧!
资源描述

《HDL第二次实验实验报告》由会员分享,可在线阅读,更多相关《HDL第二次实验实验报告(5页珍藏版)》请在金锄头文库上搜索。

1、实验报告专业:测控1301 姓名:刘钰辉 学号:3130103162 R期:3月31円课程名称:HDL语言指导老师:成绩:实验名称:一、实验目的1、在testbench中加入打印输出,可用$monitor或者$display,参考上次次实验2、为方便观察结果,写入某地址的数据内界可以与地址addr存在一定关系,如地址为8 d8的空 间写32 d8。3、读写均无效时输出为高阻态,应该使川三态门。二、实验原理(如果有请放状态图/流程图)MSt M 1O0iRe A View Ccmpi* SimubW Add Source Took Uyovt rdow Hdp ? 2 |二 =I UJlUdh羼

2、鳥巍美蠡息巍息巍處崴龜巍|曲|曲|曲ww吸|曲|(JJIsnruuwl董lz遷mmoummun三mumjk-cop_rv;wirwirert jwlrwrenxW1Srd_n;wire【7:0 丨“ir:wireosioi uuran mscraAt.eXK_ar .rwc &(recuwrea). .rderI JaziHr k _| ren.v( far 奴 ylll raa IAC_V_VA|.elM_o(cXk?.wr_mo (wr_n) rd en_o(ra_a). .Odr.SoMx). data io(datUlMr_o-OS04t. xasusi*,vz .*a.o-HW Cd

3、h VWw Co i2i 5 ; ; Ba Il rv 包*ljLSiauletinAj IAttnryle)PFTQJKT7fo flfm u|z.noa/rBWMMM訾沪藏T QrdRModMvertex 003/31/1605OULpUt.elk9UXFVXoutputwr g o, output的-0,OtUFPxO)addi-O,tnouxu(3U01data lo re (31:0)data;盾initial beginftamnl r#*r ftr m cut-w 的:vrenol*b0; orw: Aoaro-lbO; ! 4oO.Aau i-ititxsts.irr ta_

4、IS SddTsotlv detMios stBsssx wxtao-0. t 也 *$*41r :Xl.data io:zzzz:zzv; z o-O.rd ci.0-0VSR4*|氤ZnrwBMnnKBiArO O eaAmpkt说 MMH9Ctt-XVU CWML Mok4i-n.三、Verilog代码以及仿真结果 module top_ram;wireelk;wirerst;wirewr_en;wirerd_(?n;wire 7:0addr;wire 31:0data;ram inst_ram(clk(clk),.rst_i(rst),.wr_en_i(wr_en),.rd_en_i(

5、rd_en),.addr_i(addr),.datajo(data);一t b_r a m in stt b_r a m ( .elk一o(clk),.rsto(rst), .wr_en_o(wr_en), .rd_en_o(rd_en), addr一o(addr), .data_io(data);endmodulemodule ram(inputelk,inputrst,inputwr en i,inputrd en i,input 7:0addrj,inout 31:0datajo);reg 31:0bram255:0;reg 31:0rd_o;regt;assign dataJctPrc

6、LoSZhz; integer i;always (posedge elk) beginif(rstj)beginfor(i=0;i=255;i=i+l)brami=32*h0;endelse if(wr_en_i)bramaddr_i=data_io;else if(rd_en_i) rd_o=bramaddr_i;endalways (posedge clk_i) beginif(rden) t=lhl;elset=lhO;endendmodulemoduletb_ram(outputregelk一 o,outputregrst_o,outputregwr_en o,outputregrd

7、_en o,outputreg 7:0addr_inout 31:0datajo);reg 31:0data;assign data_io=wr_en_o?data:32hz;initial begin$monitor($time,addr_o=%x/data_io=%x,wr_en_o=%x/rd_en_o=%x/addr_o/datajo/wr_en_o,rd_en_o);clk_o=lbO;rst_o=lbl;wr en o=lbO; rd en o=lbO; addr_o=8*b0; data=32*h0;#100;rst_o=lb0;write(8h02/32h23);write(8

8、h03,32,h53);writefShllZhlO);read(8h02);read(8h03);read(8hll);#100;rst_o=lbl;#100;rst_o=lbO;endalways#5 elk o=clk o;task write(input7:0 a J, input31:0 bj); 一begin#100;(posedge clk_o); addr o=a i;MB,data=b_i; wr en o=lbl; (posedge clk_o); wr en o=lb0;endendtasktask read(input7:0 addr);begin#100;(posed

9、ge clk_o); addr一o=addr;rd_en_o=lbl; (posedge clk_o); rd en o=lbO;endendtaskendmoduleM f Hie Edit View Compile Simulate Add OjecbJ.G? w 也丨 x 咆11=| 0饵耆 1 玷丨1 ?)?( b-Tiie|J? .Obrjm/daUjo /bjon/daUloocioiIQ|:u: : JjuiRRnilnnRRmiitiiiiiuwiiLJ data;XXXW:0DXCOOQW 1MM65 5add:-O-03 data_i &zzzzzzzzf wr_en_o0, rd_ca_o0 75 SaMr二o-l 1, da ta二i oi2 z t z z, wr二erCo-t), rd二 eo-l 765addr o-llrd*ta lo-sszzzzzz.wr a o-0,rd n_o-0ProKCt:fNwr.Srrsan:Ab ram没有思考题五、心得体会(选做)这次试验比较难,代码一开始时没冇什么思路,我是根据由网上的资料参考修改而来的。

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 其它办公文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号