毕业设计(论文)开题报告-基于FPGA的任意信号发生器

上传人:gg****m 文档编号:206387415 上传时间:2021-10-31 格式:DOCX 页数:10 大小:64.18KB
返回 下载 相关 举报
毕业设计(论文)开题报告-基于FPGA的任意信号发生器_第1页
第1页 / 共10页
毕业设计(论文)开题报告-基于FPGA的任意信号发生器_第2页
第2页 / 共10页
毕业设计(论文)开题报告-基于FPGA的任意信号发生器_第3页
第3页 / 共10页
毕业设计(论文)开题报告-基于FPGA的任意信号发生器_第4页
第4页 / 共10页
毕业设计(论文)开题报告-基于FPGA的任意信号发生器_第5页
第5页 / 共10页
点击查看更多>>
资源描述

《毕业设计(论文)开题报告-基于FPGA的任意信号发生器》由会员分享,可在线阅读,更多相关《毕业设计(论文)开题报告-基于FPGA的任意信号发生器(10页珍藏版)》请在金锄头文库上搜索。

1、盒陵科扶學院毕业设计(论 文)开题报告设计(论文)题目:基于FPGA的任意信号发牛:器的设计学生姓名:郭定平 学 号: 0721113019专 业:电子信息工程所在学院:龙蟠学院指导教师:黄寒华职 称:进咂2010年12月31日开题报告填写耍求1. 开题报告(含“文献综述”)作为毕业设计(论文) 答辩委员会对学生答辩资格审查的依据材料之一。此报告 应在指导教师指导下,由学生在毕业设计(论文)工作前 期内完成,经指导教师签署意见及所在专业审查后生效;2. 开题报告内容必须用黑墨水笔工整书写或按教务处 统一设计的电子文档标准格式打印,禁止打印在其它纸上 后剪贴,完成后应及时交给指导教师签署意见;3

2、“文献综述”应按论文的格式成文,并直接书写(或 打印)在本开题报告第一栏冃内,学生写文献综述的参考 文献应不少于15篇(不包括辞典、手册);4.有关年月日等日期的填写,应当按照国标GB/T 7408 -94数据元和交换格式、信息交换、H期和时间表示法 规定的要求,一律用阿拉伯数字书写。如“2004年4月26 日”或 “2004-04-26”。毕业 设计(论 文、开 题报告1结合毕业设计(论文)课题情况,根据所查阅的文献资料,每 人撰与1000竽左右的文献综述:一、课题研究的背景及其意义波形发生器是各种测试和实验中不可或缺的工具,在通信、测量、雷达、控 制、教学领域应用十分广泛。不论是在生产、科

3、研还是教学上,波形发生器都是 电子工程师进行信号仿真试验的最佳工具。随着我国经济和科技的发展,对相应 的测试仪器和测试手段提出了更高的要求,而波形发生器已成为测试仪器中至关 重耍的一类,因此开发波形发生器具有很大的意义。二、信号发生器的发展和研究现状传统的波形发生器多采用模拟电路或单片机或专用芯片,由于成木高或控制 方式不灵活或波形种类少不能满足实际需求。现有的波形信号发生器大都是利用单片机(CPU)为核心设计的,这使得电 路控制比较方便,电路简单化、小型化。但同时也存在着许多不足,例如系统的 可靠性通常不高,其主耍原因是,以软件运行为核心的CPU的指令地址指针在外 部干扰下,容易发生不可预测

4、的变化,而使运行陷入非法循环中,使系统瘫痪。EDA技术的岀现,使得完全利用硬件实现波形信号发生器成为可能。例如 状态机就是很好的选择,它的运行方式类似于CPU,但却有良好的可靠性和高速 的性能。因为在外部干扰情况下,状态机的死机(进入非法状态)情况是可预测 的,这包括非法状态的数量和进入状态的可测性,以及是否已进入的非法状态的 可判断性。因为状态机的编码方式和数量是明确的,从而确保了恢复正常状态各 种措施的绝对可行性。三、FPGA技术的优势FPGA采用了逻辑单元阵列LCA (Logic Cell Array)这样一个新概念,内部 包括可配置逻辑模块CLB (Configurable Logic

5、 Block)、输出输入模块IOB ( Input Output Block)和内部连线(Interconnect)三个部分。FPGA的基本特点主要有:(1) 采用FPGA设计ASIC电路,用户不需要投片生产,就能得到合用的芯片。(2) FPGA可做其它全定制或半定制ASIC电路的中试样片。(3) FPGA内部有丰富的触发器和I / 0引脚。(4) FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件ZO(5) FPGA采用高速CIIMOS I艺,功耗低,可以与CMOS、TTL电平兼容。可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择乙一。FPGA是由存放在片内R

6、AM中的程序来设置其丁作状态的,因此,丁作时需要 对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。 加电时,FPGA芯片将EPROM中数拥读入片内编程RAM中,配置完成后,FPGA进 入工作状态。掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能够 反复使用。FPGA的编程无须专用的FPGA编程器,只须用通用的EPROM、PROM编 程器即可。当需要修改FPGA功能时,只需换一片EPROM即可。这样,同_片FPGA, 不同的编程数据,可以产生不同的电路功能。因此,FPGA的使用非常灵活。FPGA有多种配置模式:并行主模式为一片FPGA加一片EPROM的方式;

7、主从 模式可以支持一片PROM编程多片FPGA;串行模式可以采用串行PROM编程FPGA; 外设模式可以将FPGA作为微处理器的外设,由微处理器对其编程。四、参考文献1 许光辉.基于FPGA的嵌入式开发与应用.北京:电子工业出版社,20062 王小军VHDL简明教程.北京:清华大学出版社,19973 张玉兴.DDS高稳高纯频谱频率源技术J.系统工程与电子技术1997 (2) 24-284 白居玉.低噪声频率合成M.西安交通大学出版社.1995年5月第1版5 郑宝辉.直接数字频率合成器相位截断误差分析J无线电工程.1998 (6) 1-56 高玉良,李延辉,俞志强现代频率合成与控制技术.北京:航

8、空工业出版 社,2002, 15-1507 潘松,黄继夜.EDA技术实用教程M科学岀版社.2005年第2版1-258 辛春艳.VHDL硬件描述语言M国防工业出版社,2002 (1)9 林明权.VIIDL数字控制系统设计范例M电子工业出版社.2003. 224-24110 卢毅、赖杰.VHDL与数字电路设计M科学出版社.2003 (10) 31-3811 褚振勇、翁木云.FPGA设计与应用M.西安电子科技大学出版社.2002(7) 35-4912 徐志军、徐光辉.CPLD/FPGA的开发与应用M.电子工业出版社.2002.65-9813 赵限光、郭万有、杨颂华.可编程逻辑器件原理、开发与应用M.

9、西安电 子科技大学出版社.2000. 117-11914 黃智伟.FPGA系统设计与实践M.电子工业出版社.2005 (1) 33-3515 冯程.用直接数字频率合成器产生正弦波J华中科技大学学报. 2003. 716 Altera Digital Library, Altera Corporation , Altera, 2002;17 电子技术基础(数字部分),康华光,高等教育出版社,1991;18 数字逻辑EDA设计与实践,刘昌华,国防工业出版社,200619 数字系统设计与PLD应用技术,蒋璇、臧春华编著,电子工业出版社,2001;20 周期成.电子设计硬件描述语言北京:清华大学出版社

10、;毕 业设计(论 文)开 题 报 告2 本课题要研究或解决的问题和拟采用的研究手段(途径):一、本课题要解决的问题1、了解现代电子设计技术EDA技术。掌握数字电路、VIIDL语言、QUARTUSII软件等相关知识,能够应用EDA软件进行任意信号发生器的设计与仿真。2、任意信号发生器功能:能够产生一定频率范围和一定幅度的正弦波、三角 波、方波等常用信号,并可以根据耍求产生满足特定耍求的信号。3、要求:应用QUARTUSII软件进行任意信号发生器的设计与仿真。二、拟采用的研究手段1. 开发环境开发平台:PC机开发工具:PC机、FPGA平台、Quartus II。2. 研究课题的具体方案1、任意信号

11、发生器简单设计法分析任意信号发生器主要由两大类电路模块组成,即函数发生电路如图和函数选择 电路其中函数发生电路分别包括了产生递增斜波、递减斜波、三角波、梯形波、正 弦波和方波六种不同函数波形模块。下图为信号发生器总框图。图1信号发生器总框图2、利用DDS设计信号发生器DDS是数字式的频率合成器,数字式频率合成器耍产生一个sinwt的正弦信 号的方法是:在每次系统时钟的触发沿到来时,输出相应相位的幅度值,每次相位 的增值为WT(T为系统时钟周期)。要得到每次相应相位的幅度值,一种简单的方 法是查表,即将02口的正弦函数值分成N份,将各点的幅度值存到ROM中, 再用一个相位累加器每次累加相位值wT

12、,得到当前的相位值,通过查找ROM得到 当前的幅度值。DDS.T作过程为:每次系统时钟的上升沿到来时,相位累加器(24位)中的 值累加上频率寄存器(12位)中的值,再用累加器作为地址进行ROM查表,查到 的值送到DA进行转换。这个过程需要儿个时钟周期,但用VHDL设计,每个吋 钟周期每部分都在工作,实现了一个流水线的操作,实际计算一个正弦幅度值只用 一个吋钟周期,但是会有儿个周期的延吋。下图即为DDS系统结构框图:DDS系嗾帼3、利用Quartusll和VHDL语言对各波形发生模块进行仿真在Quartus II开发平台上,利用可编程逻辑器件PLD,采用硬件描述语言VHDL 设计输入形式,按模块

13、化方式设计出任意信号发生器的程序。然后,通过EDA技术, 对各模块电路进行编译、仿真。对各波形进行分析写出源程序,如:方波函数发生电路应实现这些功能:输出 只要两种不同的取值:最小值表示全为0 (十进制表示为0)、最大值表示为全1 (十进制表示为255),每经过一定数量的时钟周期(在此设定为64),输岀信号 在两个输出取值Z间进行翻转。在QuartusII中输入各波形VIIDL源程序,然后进行仿真。将六种波形的函数发 生电路的输岀信号作为断数选择电路的输入选择信号,即得到选择模块的仿真波 形,函数选择模块的本质即选择译码电路。4、在KHF-1型FPGA实验开发系统中进行硬件测试。三、课题设计工

14、作进度计划表2010-12-262011-01-10查阅课题相关文献资料,分析清楚系统功能,完成开题衆告和英文资料翻译。2011-01-112011-04-10根据要求确定系统实施方案,设计具体的电路;完成系统的电路设计、程序设计;同时撰写毕业论文初稿2011-04-112011-05-20完成程序调试、测试T作;完成论文大纲、毕业论文(修改两稿);完成系统使用说明书2011-05-212011-05-28完成答辩准备(含答辩PPT的制作),进行毕业设计答辫 提交毕业设计论文及各种材料(打印稿、电子稿)毕业设计(论文)开题报告指导教师意见:1.对“文献综述”的评语:文献综述的相关性符合要求,对

15、课题的研究背景和目的及研究的现状基本有所 了解,基本能够对课题的研究方向作描述!2对本课题的深度、广度及工作量的意见和对设计(论文)结果的预 测:本课题的深度和广度均较适中,工作量尚可,学生应该能够通过自己的努力完成课题的设计要求!3. 是否同意开题: V同意不同意指导教师:年 月 日 所在专业审查意见:负责人:年 月 日本账号发布文档来源于互联网和个人收集,仅用于技术分享交流,版权为原作者所有.如果侵犯了您 的知识版权,请提出指正,我们将立即删除相关资料。免费格式转换请发豆丁站内信。网易博客httn :/turui.blog 腾讯微博 hUp:t.qqcomAuruizx新浪微博過 :/直接联系QQ2218108823

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 其它办公文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号