文档详情

2011eda实验指导书

kms****20
实名认证
店铺
DOC
2.73MB
约26页
文档ID:39553792
2011eda实验指导书_第1页
1/26

实验一 12 进制计数器的设计实验目的:实验目的:学习QuartusII 环境的使用 学习 LPM 与硬件资源的使用 实验实验内容内容为了方便用户使用宏功能模块,QuartusII 软件为用户提供了“MegaWizard Plug-In Manager” ,即 MegaWizard 管理器它可以帮助用户建立或修改包含自定义宏功能模块变量的设计文件,然后可以在用户自己定义的设计文件中对这些 IP 模块进行实例化Altera 公司以及第三方 IP 合作伙伴给用户提供了许多可用的功能模块,它们可以分为两类:免费的 LPM 宏功能模块(Megafunctions/LPM)和需要授权使用的 IP 知识产权(MegaCore)在实际的工程设计中,必须使用宏功能模块才可以使用一些 Altera 的特定器件的硬件功能,例如各类片内存储器(RAM) ,数字信号处理(DSP)模块,低电压差分信号(LVDS)驱动器,嵌入式锁相环(PLL)以及收发器(SERDES)电路模块等实验步骤1、建立工程每一个开发过程开始时都应该建立一个 Quartus II 工程Quartus II 以工程方式对设计过程进行管理任何一项设计都是一项工程,都必须首先为此工程建立一个放置于此工程相关的所有文件的文件夹,不同的设计项目最好放在不同的文件夹中,同一工程的所有文件都必须放在同一文件夹中。

2、打开 QuartusII 软件建立工程(1)在 window 桌面上选择“开始”→“程序” →Altera→QuartusII ,打开QuartusII 界面如图 1.1 所示2)在图 1.1 中选择 File|New Project Wizard 来新建一项工程新建工程向导说明对话框 1.2 所示图 1.1Quartus II 软件界面图 1.2 新建工程向导说明对话框(3)在图 1.2 中单击 Next 进入如图 1.3 所示对话框图 1.3 中第一栏用于指定工程所在的工作库文件夹;第二栏用于指定工程名,工程名可以取任何名字,也可以直接用顶层文件的实体名作为工程名(建议使用) ;第三栏用于指定顶层文件的实体名工程名和顶层文件的实体名同名4)单击 Next 进入图 1.4 所示对话框由于是新建工程,暂无输入文件5)单击 Next 进入图 1.5 所示对话框在该对话框中指定目标器件,这里我们选择的是 Cyclone 系列的 EP1C6Q240C86)单击 Next 进入图 1.6 所示对话框本实验利用 QuartusII 的集成开发环境,不使用任何 EDA 工具,因此这里不做任何改动。

7)单击 Next 进入图 1.7 所示对话框从该对话框中,可以看到工程文件配置信息报告单击 Finish,完成新建工程的建立需要注意的是,建立工程后,还可以根据设计中的实际情况对工程进行重新设置,可选择 Assignments|Settings 进行设置图 1.3 新建工程路径、名称、顶层实体指定对话框图 1.4 新建工程添加文件对话框图 1.5 新建工程器件选择对话框图 1.6 新建工程 EDA 工具设置对话框图 1.7 工程文件配置信息对话框3、建立图形设计文件Quartus II 图形编辑器也称为块编辑器用于以原理图和结构图的形式输入图 1.8 输入法选择 2、在图 1.9 中双击空白处图 1.9 元器件调入对话框3、在图 1.10 中,单击“MegaWizard Plug-In Manager” ,弹出定制宏模块的对话框,有三个选项,中选择定制一个新的宏功能模块(Creat a new custom megafunction variation) ,修改编辑一个已存在的宏功能模块(Edit an exiting custom megafunction) ,复制一个已存在的宏功能模块(Copy an exixing custom megafunction) ,选择 Creat a new custom megafunction variation。

图 1.10 定制一个新的宏功能模块4、选择 Next 进入下一页, 如图 1.11 中注意“what name do you want for the outout file?”输出文件名字不能和顶层文件名字同名该对话框左侧列出了可供选择的宏功能模块类型,有已安装的组件(Installed Plugins)和未安装的组件(IP MegsStore) 未安装的部分是 Alter 的 IP 核,它们需要上网下载,然后再安装右边部分包括器件选择,硬件描述语言选择,输出文件的路径和文件名,以及库文件的指定,这些库文件时设计者在 QuartusII 中编译时需要用的文件库设计者在使用非系统默认,自己安装的 IP 核时,需指定用户库单击 Next 进入下一页图 1.11 为定制的模块选择库5、单击 Next 进入计数器位数选择界面,计数器的位数选择设置如图 1.126、单击 Next 进入下一界面,为计数器添加使能控制端,如图 1.137、单击 Next,为计数器添加清零端,如图 1.148、单击 Next 将看到定制模块的详细信息,如图 1.15,finish 完成,弹出图 1.16所示制定好的计数器模块。

9、按照图 1.17 完成计数器原理图设计10、存盘,建立时序仿真文件,仿真波形如图 1.18图 1.12 计数器位数选择图 1.13 计数器使能端选择图 1.14 为计数器添加清零端图 1.15 计数器详细信息图 1.16 计数器模块图 1.17 计数器原理图图 1.18 计数器仿真波形实验二 图形法设计 24 进制计数器实验目的实验原理74161 是一个四位二进制的计数器,用两个 74161 芯片级联成一个 24 进制计数器,第一个从 0 记到 11,第二个从 0 记到 1,从而实现 24 进制计数实验步骤1、建立工程图 2.1 新建工程路径、名称、顶层实体指定对话框图 2.2 工程文件配置信息对话框2、建立图形设计文件Quartus II 图形编辑器也称为块编辑器用于以原理图和结构图的形式输入和编辑图形设计信息1)在 Quartus II 主界面中选择 File|New 打开如图 2.3 所示的对话框在图 2.3 中选择 Block Diagram/Schematic File,单击 OK 建立一个空的图形设计文件,默认名为 Block1.bdf在 Quartus II 主界面中选择 File|Save As,打开将BDF 文件存盘的对话框,如图 2.4 所示。

在该对话框中接受默认的文件名,并选中“Add file to current project”选项,以使该文件添加到工程中2)在图形编辑窗中的任何一个位置单击鼠标右键,在出现的快捷菜单中,选择其中的输入元件项 Insert | Symbol在弹出的对话框中,选择 MAX+plus II老式宏功能元件库中的 74161,然后单击 Symbol 对话框中的 OK 按钮,将元件调入图形编辑窗中,如图 2.4 所示3)如上述操作,在调出逻辑元件库 primitives 中的INPUT、OUTPUT、NAND2、NAND3、GND、VCC 和相应的输入输出元件按照图 2.5 的原理图用鼠标完成电路的内部连线及与输入和输出的引脚连接图 2.3 新建文件对话框图 2.4 元件调入对话框图 2.5 二十四进制计数器原理图图 24 进制计数器参考图图 24 进制计数器参考图(4)鼠标双击元件,修改元件名,并单击确定5)选择 File|Save As 命令,将设计好的原理图命名为 count24.bdf 并存盘,(6)执行 Quartus II 主窗口的 Processing|Start Compilation 命令,进行编译,直到编译正确无误。

4、建立时序仿真文件我们可以利用 Quartus II 软件波形编辑器创建矢量波形文件,该文件是波形图的形式,用于描述仿真输入矢量和仿真输出1)在 QuartusII 主界面中选择 File|New 打开如图 2.6 所示的对话框在图 2.6 中选择 Vector Waveform File,单击 OK 建立一个空的时序仿真文件,保存,文件名为 count24.vwf,如图 2.72)在矢量波形文件中添加输入节点鼠标双击波形编辑器左侧“Name”列,然后弹出“Insert Node or Bus”对话框,选择 Node Finder…弹出 Node Finder对话框,如图 2.8 所示点击 List,再点击>>,选择 OK,再 选择 OK 即完成选中信号“clk”后单击图标修改输入波形如图 2.9 所示3)仿真时间改为 10ms,所有设置完成后,即可启动仿真器Processing|Start Simulation,仿真波形如图 2.10 所示图 2.6 新建文件对话框图 2.7 波形图存盘对话框图 2.8 添加节点对话框图 2.9 输入波形设置对话框图 2.10 仿真波形图实验三 60 进制计数器的设计实验目的熟悉 Quartus II 软件的使用,练习使用文本编辑器,用 VHDL 语言编写 60进制计数器,通过设计熟悉 EDA 开发的基本流程。

实验步骤1、建立工程 每一个开发过程开始时都应该建立一个 QuartusII 工程QuartusII 以工程方式对设计过程进行管理任何一项设计都是一项工程,都必须首先为此工程建立一个放置于此工程相关的所有文件的文件夹,不同的设计项目最好放在不同的文件夹中,同一工程的所有文件都必须放在同一文件夹中创建一个工程放在文件夹 work2 中2、建立文本编辑文件QuartusII 文本编辑器是一个灵活的工具,用于以 AHDL、VHDL 和 Verilog HDL 语言以及 Tcl 脚本语言输入文本型设计1)在 QuartusII 主界面中选择 File|New 打开如图 3.1 所示的对话框在图 3.1 中选择 VHDL File,单击 OK 建立一个空的文本设计文件,默认名为cntm60.vhd在 QuartusII 主界面中选择 File|Save As,打开将 VHD 文件存盘的对话框,如图 3.2 所示在该对话框中接受默认的文件名,并选中“Add file to current project”选项,以使该文件添加到工程中图 3.1 新建文件对话框图 3.2 VHDL 文件存盘对话框(2)在新建的文本文件中,输入以下 VHDL 源程序并存盘:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cntm60 isport(ci :in std_logic;nreset:in std_logic;load :in std_logic;d :in std_logic_vector(7 downto 0);clk :in std_logic;co :out std_logic;qh :buffer std_logic_vector(3 downto 0);ql :buffer std_logic_vector(3 downto 0));end cntm60;architecture behave of cntm60 isbeginco<='1'when(qh=“0101“and ql=“1001“and ci='1')else'0';process(clk,nreset)beginif(nreset='0')thenqh<=“0000“;ql<=“0000“;elsif(clk'event and clk='1')thenif(load='1')thenqh<=d(7 downto 4);ql<=d(3 downt。

下载提示
相似文档
正为您匹配相似的精品文档