底层加模块

上传人:F****n 文档编号:99194786 上传时间:2019-09-17 格式:DOC 页数:18 大小:895.50KB
返回 下载 相关 举报
底层加模块_第1页
第1页 / 共18页
底层加模块_第2页
第2页 / 共18页
底层加模块_第3页
第3页 / 共18页
底层加模块_第4页
第4页 / 共18页
底层加模块_第5页
第5页 / 共18页
点击查看更多>>
资源描述

《底层加模块》由会员分享,可在线阅读,更多相关《底层加模块(18页珍藏版)》请在金锄头文库上搜索。

1、目 录摘要21设计目的 22设计内容 22.1设计任务22.2扩展 23系统方案及设计原理 33.1方案选择 33.2数字钟的基本工作原理 33.3底层元件接口 43.4数字钟设计的RTL电路 54设计步骤 55心得体会106 程序代码 10摘要:人类社会已进入到高度发达的信息化社会。信息化社会的发展离不开电子信息产品开发技术、产品品质的提高和进步。电子信息产品随着科学技术的进步,其电子器件和设计方法更新换代的速度日新月异。实现这种进步的主要原因就是电子设计技术和电子制造技术的发展,其核心就是电子设计自动化(EDA,ElectronicsDesignAutomation)技术,EDA技术的发展

2、和推广应用又极大地推动了电子信息产业的发展。为保证电子系统设计的速度和质量,适应“第一时间推出产品”的设计要求,EDA技术正逐渐成为不可缺少的一项先进技术和重要工具。目前,在国内电子技术教学和产业界的技术推广中已形成“EDA热”,完全可以说,掌握EDA技术是电子信息类专业学生、工程技术人员所必备的基本能力和技能。EDA技术在电子系统设计领域越来越普及,本设计主要利用VHDL语言在EDA平台上设计一个电子数字钟,它的计时为24小时小时制,显示满刻度为23时59分59秒,另外还具有校时功能和闹钟功能。总的程序由几个各具不同功能的单元模块程序拼接而成,其中包括分频程序模块、时分秒计数和校时程序模块、

3、数据选择器程序模块、显示程序模块和例化程序模块。并且使用QUARTUS II软件进行电路波形仿真,下载到EDA实验箱进行验证。关键词:数字钟 EDA VHDL语言 一、设计目的1、熟练地运用数字系统的设计方法进行数字系统设计;2、能进行较复杂的数字系统设计;3、按要求设计一个数字钟。二、设计内容2.1、设计任务:设计一台能显示时、分、秒的数字钟。具体要求如下:(1) 由实验箱上的时钟信号经分频产生秒脉冲;(2) 计时计数器用24进制计时电路;(3) 可手动校时,能分别进行时、分的校正;(4) 整点报时;22、扩展:设置闹时功能,当计时计到预定时间时,扬声器发出闹铃信号,闹铃时间为4s,并可提前

4、终止闹铃。三、系统方案及设计原理。3.1、方案选择:数字钟无非就是分频计数,设计出60进制,24进制计数器,在这里,秒分计数是60进制,时计数是24进制,对1Hz信号进行60分频也就产生了分计数脉冲,对1Hz进行60 * 60 分频(对分60分频)也就产生了时计数脉冲,为了方便校时,系统设计时未直接采用实验箱上的1Hz作为基准时钟源,而是对65536Hz进行32768分频产生秒脉冲,8192分频作为校时与闹钟时间设置脉冲,为方便调闹钟,采用了数据选择器选择输出正常走时或闹钟时间。为节省代码,数码管译码工作直接交给了实验箱自带的译码器,选择工作模式0。3.2、数字钟的基本工作原理:数字钟以其显示

5、时间的直观性、走时准确性作为一种计时工具,数字钟的基本组成部分离不开计数器,在控制逻辑电路的控制下完成预定的各项功能。数字钟的基本原理方框图如图1:时显示器秒显示器分显示器时译码器秒译码器分译码器报时/闹钟时计数器分计数器秒计数器校时电路 1Hz 5HZ分频器振荡器图1 数字钟的系统框图图3.1数字钟实现原理框图该系统由振荡器、分频器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路等组成。石英晶体振荡器和分频器产生整个系统的时基信号,它直接决定计时系统的精度。“秒计数器”采用六十进制计数器,每累计60秒向“分计数器”进位;“分计数器”采用六十进制计数器,每累计60分向“时计数器”

6、进位;“时计数器”采用二十四进制计数器,按照“24翻1”规律计数。“时、分、秒”计数器的输出经译码器送显示器显示。校时电路用来当计时出现误差时对“时、分、秒”进行校对调整。整点报时(闹钟)电路是根据计时系统的输出状态产生一脉冲信号,然后去触发音频发生器实现报时(闹铃)。3.3、底层元件接口:component hour port(rst,carry,en : in std_logic;ADJclk,ADJEN : in std_logic;ADJ : in std_logic_vector(3 downto 0);decade,unit : out std_logic_vector(3 dow

7、nto 0);end component;component minport(rst,carry,en : in std_logic;ADJclk,ADJEN : in std_logic;ADJ : in std_logic_vector(3 downto 0);carryout : out std_logic;decade,unit : out std_logic_vector(3 downto 0);end component;component secport(rst,clk,en : in std_logic;ADJEN : in std_logic;carryout : out s

8、td_logic;decade,unit : out std_logic_vector(3 downto 0);end component;component alarmport(clk,en,ADJclk : in std_logic;alarmADJ : in std_logic;AlarmEn : in std_logic;ADJ : in std_logic_vector(3 downto 0);hour_unit : in std_logic_vector(3 downto 0);hour_decade : in std_logic_vector(3 downto 0);min_un

9、it : in std_logic_vector(3 downto 0);min_decade : in std_logic_vector(3 downto 0);ADJhour_unit : out std_logic_vector(3 downto 0);ADJhour_decade : out std_logic_vector(3 downto 0);ADJmin_unit : out std_logic_vector(3 downto 0);ADJmin_decade : out std_logic_vector(3 downto 0);sound : out std_logic);e

10、nd component;component PrescalClk port(clkin : in std_logic;clkout_1Hz : out std_logic;clkout_4Hz : out std_logic);end component;3.4、数字钟设计的RTL电路: 图2从RTL电路中可以看到,通过综合后系统模块划分为分频模块、秒计数模块、分计数模块、时计数模块、闹钟模块,数据选择输出模块,由于系统设计时硬件平台选用的是模式0,显示部由硬件译码。分频模块产生正常走时的1Hz频率与校时用的5Hz频率,时分秒计数都可有外部按键清零,时分可分别进行校时,闹钟模块可根据需要设置

11、闹铃时间,输出部分采用了数据选择器,用于选择输出正常走时或闹钟设置时间。四、设计步骤步骤1:输入设计项目和存盘打开QUARTUSII,单击“file”菜单,将鼠标移到New Project Wizard 选项单击则显示如图3 图3 建立 New Project在第一栏选择或写入工程文件名,第二、三栏中建立项目名和实体名,工程文件不能包含中文字符,项目名和实体必须保持一致,然后选择目标器件如图4 图4选择没标器件目标器件一定要与实际所用器件一致,最后点击finish完成弹出图5 图5建立VHDL文件步骤2:输入程序并运行编译;在工具栏中点击filenew,选择VHDL File,点击确定建立VH

12、DL文件,在里面键入程序,如图6 图6 输入程序输入完程序以后点击工具栏中的保存,再点击右方一个紫色的三角符号“”,然后运行程序,如果程序出现错误在改正。编译通过后如图7 7 通过编译步骤3:时序仿真:1建立波形文件:选择File-New,在Other File里选择Vector Waveform File,单击OK建立波形文件,在Edit-End Time选项中为仿真设置仿真时间。双击Name下的空白处,弹出Insert Nod or Bus对话框,单击Node Finder如图7图7 选择添加结点设置在图8所示选定各个选择项。图8 选择结点单击OK,完成引脚输入,加上输入信号后波形文件存盘。 2运行仿真器。在Processing菜单下选择StartSimulation项,直到Simulator was successful出现,仿真结束。完成波形如图9所示。 图9 仿真波形图步骤4:引脚锁定选择AssignmentsAssignments Editor,锁定引脚,输入以后如下图,设定完成以后再

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 教学/培训

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号