【2017年整理】课程设计表决器数字电路设计

上传人:鲁** 文档编号:984276 上传时间:2017-05-24 格式:DOC 页数:13 大小:380KB
返回 下载 相关 举报
【2017年整理】课程设计表决器数字电路设计_第1页
第1页 / 共13页
【2017年整理】课程设计表决器数字电路设计_第2页
第2页 / 共13页
【2017年整理】课程设计表决器数字电路设计_第3页
第3页 / 共13页
【2017年整理】课程设计表决器数字电路设计_第4页
第4页 / 共13页
【2017年整理】课程设计表决器数字电路设计_第5页
第5页 / 共13页
点击查看更多>>
资源描述

《【2017年整理】课程设计表决器数字电路设计》由会员分享,可在线阅读,更多相关《【2017年整理】课程设计表决器数字电路设计(13页珍藏版)》请在金锄头文库上搜索。

1、 表决器电路 课程设计电气与信息工程 学院电气工程及其自动化 专业题 目: 表决器电路设计 姓 名:xxx 学 号: 181413214 指 导 教 师 : 何 国 锋 时间: 2015 年 6 月 22 日 2015 年 6 月 26 日题目 表决器电路设计摘要随着社会的飞速发展,科学技术的应用已经渗入到社会的各个领域。目前,各领域的激烈竞争迫使人们不得不对办事效率格外重视,同时,这也是方便、高效的社会发展趋势之必需。会议表决方面亦是如此。表决器所具有的功能使它成为这方面当之无愧的选择。本设计正是关于多数表决器的设计,用于各种场合的投票选举。设计目的:1、 进一步掌握组合逻辑电路和时序逻辑电

2、路的分析设计方法,巩固课堂上学到的知识;2、 学习对原有电路进行改进的方法,使电路在设计上逻辑更合理,更人性化;3、 掌握一些常见的数字电路芯片的使用方法;4、 通过对电路进行改进的实践,培养创新意识。主要内容如下:1、用于十人以下会议表决,半数人以上同意通过;2、考虑弃权情况,有四人以上弃权推迟会议再议;3、根据表决情况显示“否决、通过、再议”字样;4、显示方式自己设计。主要方法:将各种元器件通过逻辑门按电路图有效连接起来,投票信号由脉冲显示,通过线路输入到计数器中进行累加计算,将经过计算后的结果传送到数值比较器中,根据与比较器中事先设置的数值进行比较,最后输出投票结果。取得结果:同意人数大

3、于 4 即过半,绿灯亮,会议通过;反对人数大于 4 即过半,红灯亮,会议被否决;弃权人数过半,黄灯亮,推迟再议。七段显示译码器分别将“同意”、“反对”、“弃权”的人数显示出来。目录1 概述1.1 本人所做工作1.2 内容简述1.3 原理框图 2 系统总体方案及硬件设计2.1 初步构思2.2 最终设计2.3 硬件设计2.4 实际设计实施 单元电路的设计,元器件选择电路原理图3 使用说明3.1 使用说明4 课程设计体会4.1 心得体会参考文献1 概述1.1 本人所做工作在刚开始的设计时,我有些松懈,因为刚看到题目,觉得特别简单,认真看了要求过后发现做起来有些困难,在组长的安排下一些组员分别去不同的

4、地方查阅资料,同时留下一部分组员仔细阅读题目及要求,并仔细查找书本上最为相近的知识,联系所学内容,尽力做到全面出击,为下一步的设计铺平道路,使工作可以有效、高速地展开。最后我们整体讨论出三种实施方案,并把这三种方案告诉了程英老师,最后在程英老师的指导下,我们最终确立了现在的方案,我被安排解决输出部分的电路,考虑到这个题目的实际情况,票数需要显示出来,我用了七段数码显示器来实现,最后代表“通过”“否决”“再议”的三种情况,我分别用了三个不同颜色的发光二极管来表示。我完成电路后,所有组员一起讨论后,最终也确定了我的方案。1.2 内容简述 表决器分三个部分:“表决数据的输入部分、数据控制处理部分、结

5、果的输出部分” 。通过这三大部分构成了整个表决器,表决人员表决结果“同意、否决、弃权”三个不同的数据用三个按钮来分别输入,经过总线送入数据控制处理部分电路中,送入的数据经过计数器统计处理后,再通过数值比较器进行比较,将结果(通过、否决、推迟再议)不同颜色的信号灯(红、黄、绿)输出。整个电路中还设计了总开关控制电路的开关,复位开关使得该电路可以在不断电情况下重复进行表决操作,同时还设计了一个七段显示译码器来显示投票结果。1.3 原理框图:2 系统总体方案及硬件设计2.1 初步构思拿到设计题目时,我们考虑了设计题目的任务及要求,并且考虑了与实际情况的结合,我们开始着手设计。怎么设计电路?我们决定先

6、从整体入手。查阅资料过程中我们发现了“三人参加表决,T触发器 计数器数值比较器结果显示 七段显示译码器脉冲电路同意或否决”的示例,人数方面由三人改为九人应该容易解决,但如何在输入中考虑到弃权的状态呢?刚开始我们想用二位二进制来表示,但这样需要考虑的数据输出量极为庞大,而且如此方式设计的表决器无通用性可言,所以我们放弃了这种形式的输入。那么用“0、1、无效”这三种形式是否可以呢?同一个按钮同时显示这三种状态是否合适呢?再三考虑之后我们觉得这样还是不妥。究竟怎样才合适?一时无从着手。2.2 最终设计经过大量的资料查阅与长时间的集体讨论之后,我们决定以以下方式解决:首先,表决器分三个部分:“表决数据

7、的输入部分、数据控制处理部分、结果的输出部分” 。通过这三大部分构成了整个表决器,表决人员表决结果“同意、否决、弃权”三个不同的数据用三个按钮来分别输入,经过总线送入数据控制处理部分电路中,送入的数据经过计数器统计处理后,再通过比较器将结果输出。整个电路中还设计了总开关控制电路的开关,复位开关使得该电路可以在不断电情况下重复进行表决操作,同时还设计了一个七段显示译码器来显示投票结果。2.3 硬件设计单次脉冲开关、高低电平、发光二极管、电阻、74193 芯片、信号灯、T触发器、七段显示译码器及各种逻辑门电路。2.4 实际设计实施 单元电路的设计,元器件选择电路原理图表决数据的输入部分:表决器主要

8、分三大部分电路:“表决数据的输入部分、数据控制处理部分、结果的输出部分” ,其中,表决数据的输入部分由于设计要求为用于十人以下会议表决,所以,我们制作了 9 个相同的表决输入器,该输入器由:“单次脉冲开关、T触发器、高低电平、发光二极管、电阻、信号灯及各种逻辑门电路”组成,其原理为当一个人要表决时,按下三个按钮中的一个,产生一个上升的 CP 脉冲信号,与之对应的信号灯亮,表示此次动作有效,脉冲通过传输门进入总线并输入到数据控制处理部分进行数据处理,传输门由上一级输入电路的输出脉冲控制,只有当上一级脉冲通过总线后这一级的传输门才能打开使脉冲通过,这样可以防止各脉冲信号的相互干扰。另外,还设置了一

9、个复位开关,通过此开关可以将此次表决动作所处发打亮的灯熄灭并且使 T触发器输出为低电平,此时所有灯熄灭回到初始状态。原理图如下:同意 CP 反对 CP弃权 CP QD 数据控制处理部分:该部分电路我们用到了三个计数器、三个数值比较器。其中计数器用来统计“弃权” “同意” “否定”的人数。当选举人员按动“同意”键时,对应产生 CP 脉冲信号,CP 脉冲经电路传输送到第一个计数器上,计数器对“同意”键的动作次数即投票数进行计数,然后将计数输出代码传输给数值比较器。按动“否定”及“弃权”键时同样道理,由各对应计数器对投票数进行计数统计,然后将计数结果传送给数值比较器。特别注意的是,其中当计数器输出由

10、1001 变为 1010 时,其中与门输出为 1,该信号接至清除端 CLR,使计数器状态立即变为 0000,当下一个计数脉冲到达时,再由 0000 QD QD 变为 0001,继续进行加 1 计数。这样既可以防止有人在选举过程中多次按键而使投票票数多于投票人数,造成选举结果的不公平,又可以实现投票器的重复使用而不影响实际结果。其电路图如下:Q0 Q1 Q2 Q3&1 0图 1备注:74193 芯片功能介绍:1功能表:输 入 输 出CLR LD D C B A CPU CPDQA QB QC QD1000d100d d d dX1 X2 X3 X4d d d dd d d dd dd d 11

11、0 0 0 0X1 X2 X3 X4累加计数累减计数当 CLR 为高电平时,计数器被清除为“0” ;当 LD 为低电平时,计数器被预置为 A、B、C、D 端输入的值;当计数脉冲由 CPU 端输入时,计数器进行累加计数;当计数脉冲由 CPD 端输入时,计数器进行累减计数。根据 74193 的功能表,如图 所示逻辑电路实现模10 加法器的功能。其中,LD 接逻辑 0,CPD 接逻辑 1,CPU 接计数脉冲 CP,74193 工作在累加工作状态。该部分需要结果显示部分的信号反馈,当七段显示数码管显示的人数相加,如果人数等于参加表决的人数,说明此次表决有效;如果不等于参加表决人数,说明此次表决无效。如

12、果表决有效,弃QA QB QC QDCPU 74193 CLRCPDA B C D LD权人数再与四(0100)比较,如果弃权人数大于四(0100)则此次会议表决推迟再议,并输出一个信号控制输出端输出相应的信号。如果弃权人数小于等于四(0100) ,则说明此次表决有效,此时将弃权人数与否决人数通过全加器相加后与同意人数相比较,当同意人数大于弃权人数与否决人数之和,则输出相应信号控制输出端发出相对应信号。当同意人数小于弃权人数与否决人数之和,则说明此次表决否决不能被通过,且输出相应信号控制输出端发出相对应信号。另外设计了一个复位开关,控制所有计数器使之清零复位,原理图如下: 集成数值比较器 74

13、85 是 4 位数值比较器,其功能表如下:A3 B3 A2 B2 A1 B1 A0 B0 IAB IAB FAB3 x x x x x x 1 0 0A3B2 x x x x x 1 0 0A3=B3 A2B1 x x x x 1 0 0A3=B3 A2=B2 A1B0 x x x 1 0 0A3=B3 A2=B2 A1=B1 A0B FAB FA=B FAB GND数值比较器 发光二极管计数器 七段显示译码器 人数结果显示3 使用说明3.1 使用说明:该表决器适合十人以下的会议表决,每个人的表决器上面有三个按钮,分别代表“同意”“反对”“弃权”三种选择,根据个人意愿选择按钮后,表决器上面的信

14、号灯亮着表示投票成功,三个数码显示器会显示出三种情况的票数。红灯亮表示决议被否决,黄灯则表示再议,绿灯方为通过。4 心得体会4.1 心得体会表决器电路的工作过程可分为三步:从表决人员处采集得投票的脉冲信号 对投票脉冲进行计数与数值比较器中已存信息比较。改进后的电路不再存在原来电路的缺点:代表可以重复投票,代表之间的投票相互影响。而且改进后的电路还具有原来电路没有的优点:在投票时间结束前可以取消刚刚所投的票。这些都使电路逻辑更合理,设计更人性化。通过这次设计,我可以说是受益良多。这个电路从头到尾都是我们自己想出来的,在输入状态表示上我们花费了很大工夫。通过这个实验设计,我更加熟练地掌握了一些常见

15、的数字芯片的使用,还学到了一些课本上没出现过的芯片,如数值比较器(74LS85) 。我想,从这两个电路的对比以及改进中,也许可以学到一些创新的方法。课程设计是培养学生综合运用所学知识,发现,提出,分析和解决实际问题,锻炼实践能力的重要环节,是对学生实际工作能力的具体训练和考察过程.随着科学技术发展的日新日异,数字电路技术已经成为当今必不可少的一门技术, 在生活中可以说得是无处不在。因此作为二十一世纪的大学来说掌握数字电路的运用。回顾起此次数字逻辑课程设计,至今我仍感慨颇多,的确,从选题到定稿,从理论到实践,在一个星期的日子里,可以说得是苦多于甜,但是可以学到很多很多的的东西,同时不仅可以巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 行业资料 > 工业设计

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号