半导体词汇缩写表

上传人:简****9 文档编号:95459187 上传时间:2019-08-18 格式:DOC 页数:31 大小:1.17MB
返回 下载 相关 举报
半导体词汇缩写表_第1页
第1页 / 共31页
半导体词汇缩写表_第2页
第2页 / 共31页
半导体词汇缩写表_第3页
第3页 / 共31页
半导体词汇缩写表_第4页
第4页 / 共31页
半导体词汇缩写表_第5页
第5页 / 共31页
点击查看更多>>
资源描述

《半导体词汇缩写表》由会员分享,可在线阅读,更多相关《半导体词汇缩写表(31页珍藏版)》请在金锄头文库上搜索。

1、半导体词汇缩写表A/Danalog to digitalAAatomic absorptionAASatomic absorption spectroscopyABCactivity-based costingABMactivity-based managementACalternating current; activated carbonACFanisotropic conductive filmACIafter-clean inspectionACPanisotropic conductive pasteACTalternative control techniques; actual

2、cycle timeADCanalog-to-digital converterADEadvanced development environmentADIafter-develop inspectionADTapplied diagnostic techniqueADTSEMApply/Develop Track Specific Equipment ModelAEatomic emission; acousticemission; absolute ellipsometryAECadvanced equipment controllerAECSAdvanced Equipment Cont

3、rolSystem; Automated Equipment Control SystemAEIafter-etch inspection; automated equipment interfaceAEManalytical electron microscopyAESAuger emission/electron spectroscopyAFMatomic force microscopyAFPabrasive-free polishAgsilverA-GEMTFAdvanced GEM Task ForceAGVautomated guided vehicleAHFanhydrous h

4、ydrogen fluorideAHUair handling unitAIRautomated image retrievalAlaluminumALDatomic layer depositionALEatomic layer epitaxy; application logic elementALSadvanced light source; advanced low-power SchottkyAMCairborne molecular contaminationAMHSautomated material handling systemAMTadvanced manufacturin

5、g technologyAMUatomic mass unitANNartificial neural networkANOVAanalysis of varianceAOVair-operated valveAPadhesion promoterAPAadvanced performance algorithmAPCadvanced process controlAPCDadd-on pollution control deviceAPCFIAdvanced Process Control Framework InitiativeAPCVDatmospheric pressure chemi

6、cal vapor depositionAPECadvanced process equipment controlAPIapplication programming interface; atmospheric pressure ionizationAPMatmospheric passivation module; acoustic plate modeAPRDLAdvanced Products Research and Development LaboratoryaPSMattenuating phase-shift maskAQIACCESS query interfaceAQLa

7、cceptable quality levelArargonARaspect ratioARAMSAutomated ReliabilityARCantireflective coatingARDEaspect ratio-dependent etchingARPAAdvanced Research Projects Agency (see DARPA)ARSangle-resolved scatteringAsarsenicAS/RSautomated storage and retrieval systemASAPAdvanced Stepper Application ProgramAS

8、ICapplication-specific integrated circuitASOautomatic shutoffASPadvanced strip and passivation; advanced strip processorASRautomated send receiveATDFAdvanced ToolDevelopment FacilityATEautomatic test equipmentATGautomatic test generationATLASabbreviated test language for all systemsatmatmosphereATPa

9、dvanced technology program; adenosine triphosphate; acceptance and tool performanceATRattenuated total reflectanceAttattenuatedAugoldAVPadvanced vertical processorAVSadvanced visualization systemAWEasymptotic waveform evaluationAWISPMabove wafer in situ particle monitoringAWSadvanced wet stationBbil

10、lion; boronBabariumBARCbottom antireflective coatingBASEBoston Area Semiconductor Education (Council)BAWbulk acoustic waveBCbias contrastBDEVbehavior-level deviationBDSBrownian Dynamics SimulationBeberylliumBEOLback end of lineBESOIbonded and etchback silicon on insulatorBFbrightfieldBFGSBroyden-Fle

11、tcher- Goldfarb-Shanno optimization algorithmBFLbuffered field-effect transistor logicBGAball grid arrayBHTBrinell hardness testBibismuthBiCMOSbipolar complementary metal-oxide semiconductorBIFETbipolar field-effect transistorBIMbinary intensity maskBiMOSbipolar metal-oxide semiconductorBISTbuilt-in

12、 self-testBITbulk ion temperatureBITEbuilt-in test equipmentBMCbubble memory controllerBMDbulk micro defectBOEbuffered oxide etchantBORbottom of rangeBOSSBook of SEMI Standards; binary object storage systemBOXburied oxideBPRbeam profile reflectometry; business process reengineeringBPSGboron phosphos

13、ilicate glassBPTEOSBPSG from a TEOS sourceBrbromineBSEbackscattered electron detectionBTABbumped tape automated bondingBVbreakdown voltageCcarbonCacalciumCACIM architectureCAACIM applications architectureCABCompetitive Analysis BenchmarkingCADcomputer-aided designCADTcontrol application development

14、toolCAEcomputer-aided engineeringCAIcomputer-assisted instructionCAMcomputer-aided manufacturingCAPScomputer-assisted problem solvingCARchemically amplified resistCARRIComputerized Assessment of Relative Risk ImpactsCASEcomputer-aided softwareengineering; computer-aided systems engineeringCATcomputer-aided testingCAWConstruction Analysis WorkgroupCAWCcryogenic aerosol wafer cleaningCBGAceramic ball grid arrayCBSchemical bottle storage areaCBTcomputer-based trainingCCchip carrier; cluster controllerCCCceramic chip carrierCCDcharge-

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 商业/管理/HR > 管理学资料

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号