quartus设计流程课件

上传人:F****n 文档编号:95339329 上传时间:2019-08-17 格式:PPT 页数:41 大小:1.62MB
返回 下载 相关 举报
quartus设计流程课件_第1页
第1页 / 共41页
quartus设计流程课件_第2页
第2页 / 共41页
quartus设计流程课件_第3页
第3页 / 共41页
quartus设计流程课件_第4页
第4页 / 共41页
quartus设计流程课件_第5页
第5页 / 共41页
点击查看更多>>
资源描述

《quartus设计流程课件》由会员分享,可在线阅读,更多相关《quartus设计流程课件(41页珍藏版)》请在金锄头文库上搜索。

1、Quartus设计流程,Quartus软件的用户界面,启动Quartus软件后默认的界面主要由标题栏、菜单栏、工具栏、资源管理窗口、编译状态显示窗口、信息显示窗口和工程工作区等部分组成。,Quartus的开发流程,按照一般编程逻辑设计的步骤,利用Quartus软件进行开发是可以分为以下四个步骤: (1)输入设计文件; (2)编译设计文件; (3)仿真设计文件; (4)编程下载设计文件。,输入设计文件,Quartus软件的输入法有: 1)原理图输入方式、 2)文本输入方式(如VHDL、Verilog HDL) 3)AHDL输入方式、 4)模块输入方式以及 5)第三方EDA工具产生的文件以及混合使

2、用以上几种设计输入方法进行设计 本章主要介绍原理图输入法 原理图输入法也称为图形编辑输入法,用Quartus原理图输入设计法进行数字系统设计时,不需要任何硬件描述语言的知识,在具有数字逻辑电路基本知识的基础上,利用Quartus软件提供的EDA平台设计数字电路或系统。,开发过程:一、新建工程,1、原理图输入法建立设计工程 建立设计工程的方法如图示:,新建工程选项,新建工程第一页,1、原理图输入法建立设计工程 建立设计工程的方法图示:,新建工程第二页,新建工程第三页,1、原理图输入法建立设计工程 建立设计工程的方法图示:,新建工程第四页,新建工程第五页,二、输入设计文件,2、原理图输入法输入设计

3、文件 1)新建工程之后,便可以进行电路系统设计文件的输入。选择file菜单中的New命令,弹出如图所示的新建设计文件类型选择窗口。,2)选择New对话框 中的Device Design files页下的Block Diagram/Schematic File,点击OK, 打开如图所示的图 形编辑器对话框, 进行设计文件输入。,图形编辑器对话框,2、原理图输入法输入设计文件,2、原理图输入法输入设计文件 3)在图形编辑窗口 中的任何一个位置 双击鼠标,或点击 图中的“符号工具” 按钮,或选择菜单 Edit下的Insert Symbol命令,弹出 如右图所示的元件 选择窗口 Symbol 对话框。

4、,元件选择窗口,三、编译设计文件,选择Quartus主窗口Process菜单下Start Compilation命令,或者在主窗口的工具栏上直接点击图标 可以进行全编译 全编译的过程包括分析与综合(Analysis & Synthesis)、适配(Fitter)、编程(Assembler)、时序分析(Classical Timing Analysis)这4个环节,而这4个环节各自对应相应的菜单命令,可以单独分步执行,也就是分步编译。,RTL电路观察器窗口,四、RTL电路观察器,RTL电路观察器 双击结构图中的实例,可以展开此模块的下一级结构图,五、仿真设计文件,仿真的目的就是在软件环境下,验证

5、电路的行为和设想中的是否一致。 FPGA/CPLD中的仿真分为功能仿真和时序仿真。功能仿真着重考察电路在理想环境下的行为和设计构想的一致性,时序仿真则在电路已经映射到特定的工艺环境后,考察器件在延时情况下对布局布线网表文件进行的一种仿真。 仿真一般需要建立波形文件、输入信号节点、编辑输入信号、波形文件的保存和运行仿真器等过程。,仿真过程,1 、建立波形文件 波形文件用来为设计产生输入激励信号。利用 Quartus II 波形编辑器可以创建矢量波形文件(.vwf) 创建一个新的矢量波形文件步骤如下: (1)选择Quartus主界面 File 菜单下的New命令,弹出新建对话框; (2)在新建话框

6、中选择 Other Files 标签页,从中选择 Vector Waveform File,点击OK按钮,则打开一个空的波形编辑器窗口,主要分为信号栏、工具栏和波形栏 。,波形编辑器窗口,2、输入信号节点 (1) 在波形编辑方式下, 执行Edit菜单中的 Insert Node or Bus命令,或者在波形编辑器左边Name列的空白处点击鼠标右键,弹出的Insert Nodeor Bus对话框,2、输入信号节点 (2)点击Insert Nodeor Bus对话框中的Node Finder按钮,弹出Node Finder窗口,在此窗口中添加信号节点。,3、编辑输入信号 编辑输入信号是指在波形编辑

7、器中指定输入节点的逻辑电平变化,编辑输入节点的波形。 在仿真编辑窗口的工具栏中列出了各种功能选择按钮,主要用于绘制、编辑波形,给输入信号赋值。 具体功能如下: :在波形文件中添加注释; :修改信号的波形值,把选定区域的波形更改成原值的相反值; :全屏显示波形文件; :放大、缩小波形; :在波形文件信号栏中查找信号名,可以快捷找到待观察信号; :将某个波形替换为另一个波形; :给选定信号赋原值的反值; :输入任意固定的值; :输入随机值 :给选定的信号赋值,X表示不定态,0表示赋0,1表示赋1,Z表示高阻态,W表示弱信号,L表示低电平,H表示高电平,DC表示不赋值。,3、编辑输入信号 :设置时钟

8、信号的波形参数,先选中需要赋值的信号,然后鼠标右键点击此图标弹出Clock对话框,在此对话框中可以设置输入时钟信号的起始时间(Start Time)、结束时间(End Time)、时钟脉冲周期(Period),相位偏置(Offset)以及占空比。 :给信号赋计数值 ,先选中需要赋值的信号,然后鼠标右键点击此图标弹出如下图所示的Count Value对话框,然后赋值。,Count Value对话框的Counting页,Count Value对话框的Timing页,4、仿真波形文件 Quartus软件中默认的是时序仿真,如果进行功能仿真则需要先对仿真进行设置,步骤如下: (1)选择Quartus主

9、窗口Assignments菜单下的Settings命令,可以进入参数设置页面,如下图所示,然后单击Simulation Settings ,在右边的对话框中的Simulation mode中选择“Function”;,4、仿真波形文件 (2)选择Quartus主窗口Processing菜单下的Generate Functional Simulation Netlist命令,生成功能仿真网表文件; (3)选择Quartus主窗口Processing菜单下的Start Simulation进行功能仿真。 功能仿真满足要求后,还要对设计进行时序仿真,时序仿真可以在编译后直接进行,但是要将上图中的Si

10、mulation mode中设置为“Timing”,设置好以后直接选择Start Simulation命令,执行时序仿真。,六、编程下载,1、JTAG编程下载模式 此方式的操作步骤主要分为3步: 选择Quartus主窗口的Tools菜单下的Programmer命令或点击 图标,进入器件编程和配置对话框。如果此对话框中的Hardware Setup后为“No Hardware”,则需要选择编程的硬件。点击Hardware Setup,进入Hardware Setup对话框,下页图所示,在此添加硬件设备。 配置编程硬件后,选择下载模式,在Mode中指定的编程模式为JTAG模式; 确定编程模式后,单

11、击 添加相应的counter.sof编程文件,选中counter.sof文件后的Program/Configure选项,然后点击 图标下载设计文件到器件中,Process进度条中显示编程进度,编程下载完成后就可以进行目标芯片的硬件验证了。,编程下载对话框,1、JTAG编程下载模式,AS主动串行编程式的 操作步骤如下: (1)选择Quartus 主窗口Assignments 菜Device命令,进入 Settings对话框的 Device页面进行设 置,如右图。,2、AS主动串行编 程模式,2、 AS主动串行编程模式 (2)选择Quartus主窗口的Tools菜单下的Programmer命令或点

12、击图标 ,进入器件编程和配置对话框,添加硬件,选择编程模式为Active Serial Program; ( 3)单击 添加相应的counter.pof编程文件,选中文件后的Program/Configure、Verify和Blank Check项,单击图标 下载设计文件到器件中,Process进度条中显示编程进度。下载完成后程序固化在EPCS中,开发板上电后EPCS将自动完成对目标芯片的配置,无须再从计算机上下载程序。,Quartus中宏功能模块的调用方法,MegaWizard Plug-In Manager第一页,使用Quartus软件的MegaWizard Plug-In Manager

13、中的宏功能模块可以帮助用户调用Quartus内部已有的IP(知识产权)核来完成一些复杂系统的设计,并可以方便的对调用的IP核的参数进行修改。 下面以一个调用lpm_counter宏功能模块来实现一个十六进制的加法同步计数器为例,简单介绍宏功能模块的使用。 设计开始前新建立一个名为counter16的工程,在工程中新建一个新的bdf文件,选择Quartus主窗口的Tools菜单下的MegaWizard Plug-In Manager命令,进入MegaWizard Plug-In Manager对话框第一页 ,然后依此进行参数的设定,具体的流程如图所示:,3.4 Quartus中宏功能模块的调用方

14、法,MegaWizard Plug-In Manager第二页,MegaWizard Plug-In Manager第三页,3.4 Quartus中宏功能模块的调用方法,MegaWizard Plug-In Manager第四页,MegaWizard Plug-In Manager第五页,3.4 Quartus中宏功能模块的调用方法,MegaWizard Plug-In Manager第六页,MegaWizard Plug-In Manager第七页,3.5 SignalTap逻辑分析仪,SignalTap逻辑分析仪是Quartus软件中集成的一个内部逻辑分析软件,主要是用来观察设计的内部信号

15、波形,方便查找设计中的缺陷。 在复杂的设计中,不能从外部的输入输出引脚上观察内部端口之间(如模块与模块之间)的信号波形是否正确,这就可以使用SignalTap逻辑分析仪来进行观察。 对于外部的输入输出信号,则没有必要在SignalTap逻辑分析仪中进行观察。,3.5 SignalTap逻辑分析仪(续1),1 、SignalTap逻辑分析仪的用户界面,3.5 SignalTap逻辑分析仪(续2),2 、 SignalTap逻辑分析仪的使用流程 (1)新建一个STP文件 (2)添加采样时钟以及 设置参数 1)添加采样时钟 2)定义采样深度 3)设置触发参数 右图为STP文件参数 配置界面 。,3.

16、5 SignalTap逻辑分析仪(续3),(3)添加待观察的信号,添加信号对话框,(4) 编译包含STP文件的工程,(5) 检测硬件配置链,(6) 捕获数据观察波形,本章小结,本章主要介绍了Altera Quartus软件的开发流程和使用方法。 以Quartus7.2为例,用一个简单的设计实例演示 Quartus软件进行设计开发的用户界面、常用工具和设计流程; 对Quartus软件中的宏功能模块的调用方法做了简单的说明; 最后介绍了SignalTap逻辑分析仪的使用方法。,主要内容,Quartus软件的安装方法和工程的基本设计流程; 通过简单的实例演示各流程以及常用工具的使用方法,熟悉Quartus软件的用户界面、常用工具和设计流程; 宏功能模块的配置和SignalTap逻辑分析仪的使用方法。,3.1 Quartus软件的安装,把Quartus7.2安装光盘放入计算机的光驱中,在自动出现的光盘安装目录中选择

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > PPT模板库 > PPT素材/模板

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号