【2017年整理】VHDL实验报告——BCD码转换成二进制码(哦~)

上传人:爱****1 文档编号:941998 上传时间:2017-05-23 格式:DOC 页数:3 大小:248KB
返回 下载 相关 举报
【2017年整理】VHDL实验报告——BCD码转换成二进制码(哦~)_第1页
第1页 / 共3页
【2017年整理】VHDL实验报告——BCD码转换成二进制码(哦~)_第2页
第2页 / 共3页
【2017年整理】VHDL实验报告——BCD码转换成二进制码(哦~)_第3页
第3页 / 共3页
亲,该文档总共3页,全部预览完了,如果喜欢就下载吧!
资源描述

《【2017年整理】VHDL实验报告——BCD码转换成二进制码(哦~)》由会员分享,可在线阅读,更多相关《【2017年整理】VHDL实验报告——BCD码转换成二进制码(哦~)(3页珍藏版)》请在金锄头文库上搜索。

1、上机实验报告实验题目 班级 姓名 学号 指导教师BCD 码转换成二进制码 虾 实验目的与要求:1、通过常见代码转换电路的设计,熟悉 EDA 设计流程。2、熟悉文本输入及仿真步骤。3、掌握 VHDL 设计实体的基本结构及文字规则。4、掌握组合逻辑电路的静态测试方法。5、熟悉可编程器件设计的全过程。实验步骤与内容:(可加附页)1、创建工程。2、新建文件夹。3、输入正确的源程序,保存,编译。4、波形仿真,分配引脚,编译。5、下载到试验箱,进行功能验证。(原理图及仿真的波形界面在备注)备注:实验源程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.S

2、TD_LOGIC_UNSIGNED.ALL;ENTITY TWO ISPORT(B:IN STD_LOGIC_VECTOR(4 DOWNTO 0);D:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END;ARCHITECTURE XIA OF TWO ISBEGINPROCESS(B)VARIABLE Y:STD_LOGIC_VECTOR(4 DOWNTO 0);BEGIN IF B01010 THEND=B(3)&B(2)&B(1)&B(0); ELSE Y:=B-00110;D=Y(3)&Y(2)&Y(1)&Y(0);END IF;END PROCESS;END;实验仿真波形截图管脚分配界面截图

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 研究报告 > 综合/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号