【2017年整理】步进电动机控制毕业设计开题报告

上传人:豆浆 文档编号:936119 上传时间:2017-05-23 格式:DOC 页数:13 大小:161KB
返回 下载 相关 举报
【2017年整理】步进电动机控制毕业设计开题报告_第1页
第1页 / 共13页
【2017年整理】步进电动机控制毕业设计开题报告_第2页
第2页 / 共13页
【2017年整理】步进电动机控制毕业设计开题报告_第3页
第3页 / 共13页
【2017年整理】步进电动机控制毕业设计开题报告_第4页
第4页 / 共13页
【2017年整理】步进电动机控制毕业设计开题报告_第5页
第5页 / 共13页
点击查看更多>>
资源描述

《【2017年整理】步进电动机控制毕业设计开题报告》由会员分享,可在线阅读,更多相关《【2017年整理】步进电动机控制毕业设计开题报告(13页珍藏版)》请在金锄头文库上搜索。

1、同学们:这只是一个开题报告例子,正确的格式要按照学院的要求去做开题报告审核截止日期是:2014-02-28,要抓紧时间做。注意目录中标出的部分必须有。届毕业设计开题报告题 目 基于 CPLD 的步进电动机控制器设计 专 业 电子信息工程 姓 名 班 级 指导教师 肖闽进 起止日期 年 月 日KC017-1KC017-1毕业设计开题报告(含课题的来源及现状、设计要求、工作内容、设计方案、技术路线、预期目标、时间安排及参考文献等内容,字数为 5001000 字。)一、 课题的来源及现状近些年来,由于步进电机的控制精度不断提高,越来越多有较高控制精度要求的系统也开始采用步进电机。CPLD器件由于开发

2、方式灵活、功能扩展方便、集成度较高,在各类的设计中占据了越来越重要的地位。本课题叙述了基于CPLD的步进电机控制器的设计和实现。步进电机是一种用电脉冲信号进行控制,并将电脉冲信号转换成相应的角位移或。线位移的控制驱动装置。由于步进电机是受脉冲信号控制的,因此适合于作为数字控制系统的伺服元件。步进电机的线圈中每输入一个脉冲,转子就旋转一个步距角,它的速度和控制脉冲严格同步,通过改变脉冲频率的高低就可以在很大范围内调节电机的转速。由于步进电机具有精度高、控制灵活、定位准确、工作可靠,能直接接受交换数字信号等特点,因此广泛地应用在计量测试仪器中。步进电机通常可分为三种类型:反应式(VR)、永磁式(P

3、M)和混合式(同步感应子式HB)。在步进电机作为执行元件的计算机控制系统中,变频信号源往往通过计数器来实现,脉冲分配则由扩展的并行接口芯片或GAL芯片来实现。这种方法的优点是电路结构成熟、软件编程简单、控制灵活、能实现变频信号源与脉冲分配器的作用。但它的缺点是集成度不高,硬件电路结构复杂,占用CPU的时间过多,可靠性不高。本文提出的采用CPLD/EPLD作细分编码器,充分利用了CPLD/EPLD强大的实时、并发处理能力。与传统采用单片机、DAC、专用步进电机驱动芯片相比,系统构成更为简单、成本更低。CPLD是继PAL、GAL等逻辑器件之后出现的一种新型的复杂可编程逻辑器件 同以往的PAL、GA

4、L等逻辑器件相比较,CPLD的规模大,适舍于时序电路、组合电路等应用场合 这种芯片具有可编程性和实现方案容易改动的特点CPLD芯片可反复的编程、擦除、使用,在外围电路不动的情况下用不同的EPROM 就可实现不同的功能。步进电机主要优点是响应速度快、定位精度高、无累计位置误差、驱动线路简单、控制方法简单,缺点是转动不够平稳,运行时会发生振荡现象,它将影响系统的正常稳定运行。目前步进电机的控制电路实现方法较多,普遍认为最有效的解决方法是细分法。目前常用的细分方法是采用单片机实现或将细分参数存在EEPROM等存储器通过逻辑电路实现细分输出,恒流控制用DAC与用专用PWM芯片共同实现。随着电子技术的发

5、展,尤其是硬件描述语言的出现,解决了传统电路原理图设计系统工程的诸多不便,目前已有将CPLD/EPLD用于步进电机细分控制器的尝试随着超大规模集成电路的集成度和工艺水平的不断提高,深亚微米工艺,如0.18m、0.13m已经走向成熟,专用集成电路ASIC的设计成本在不断降低。CPLD/FPGA是实现ASIC的主流器件。它们的特点是直接面向用户,具有极大的灵活性和通用性,使用方便,硬件测试和实现快捷,开发效率高,成本低,工作可靠性好。I/O引脚多、规模大、支持重复擦写,因此只要重新编程即可实现不同功能的控制器,如:可使控制器输出多路运行脉冲以同时控制多台步进电机,或提供多相步进电机的运行脉冲等等。

6、这种基于CPLD的设计方法,可以加速同类型产品的开发速度,节约投资,使外围电路变得非常简洁,系统的可靠性大大提高,符合电子系统设计的发展方向。同时,EDA(ElectronicDesignAutomation)技术发挥了巨大的作用。EDA 技术的出现改变了传统设计时多采用原理图输入的设计模式,而是采用HDL(HardwareDescriptionLanguage)作为设计输入。设计得可以自己定义器件的内部逻辑和管脚,将原来由电路板设计完成的大部分工作放在芯片的设计中。这大大缩短了系统的设计周期,提高了产品的设计及开发效率。硬件描述语言HDL是用于硬件构造型结构的高级编程语言,它能有效地表示硬件

7、电路的特性,便于阅读交流和相互调用。VerilogHDL由GDA(GatewayDesignAutomation)公司(后被Cadence公司收购)开发,于1995年实现标准化(IEEE-1364)。VerilogHDL的语法类似于C语言,描述风格简洁明了,高效便捷。其跟EDA工具的结合非常密切,适合于RTL级尤其是门级电路的描述,易于控制电路的资源。在近期内,微处理器与CPLD/FPGA仍有很强的互补性,但从长远来看,在大部分的电子设计领域,微处理器、A/D、D/A和OAT 等必将以各种软硬核的形式统一于CPLD/FPGA中片上系统已成为电子设计的趋势目前广泛应用的基于微处理器的步进电机控制

8、系统今后可采用全硬件来实现,从而克服微处理器速度慢,复位慢,且不可靠,程序易c跑飞d等致命弱点。步进电机及驱动电源是互相联系的整体。步进电机驱动电源框图如图所示。变频信号源产生频率可调的脉冲信号,调节步进电机的速度。脉冲分配器则根据要求把脉冲信号按一定的逻辑关系加到脉冲放大器上,使步进电机按确定的运行方式工作。步进电机驱动电源框图在步进电机作为执行元件的计算机控制系统中,变频信号源往往通过计数器来实现,脉冲分配则由扩展的并行接口芯片或GAL芯片来实现。这种方法的优点是电路结构成熟、软件编程简单、控制灵活、能实现图1中的变频信号源与脉冲分配器的作用。但它的缺点是集成度不高,硬件电路结构复杂,占用

9、CPU的时间过多,可靠性不高。二、 设计要求步进电动机的定位控制三、 设计方案与技术路线控制系统的核心芯片是CPLD,它由两大功能模块组成:1)速度控制模块,核心是多模式积分分频器电路,它在不同速度控制信号作用下,可将经时钟分频器分频后的系统时钟改变为不同的PWM信号,将此信号作为方向控制模块的变频时钟,可达到改变步进电机速度的目的;2)方向控制模块,核心是脉冲分配电路,在每一个变频时钟周期内,脉冲分配器可在不同的方向控制信号下产生不同方向的步进时序脉冲,从而控制步进电机是顺时针转动还是逆时针转动。以CPLD为核心逻辑控制器件的两相混合式步进电动机驱动器主要包括以下部分:前向通道、核心逻辑控制

10、电路、电流设置(补偿)电路、数模转换电路、驱动电路、检测保护电路等。其工作过程如下。从控制器输入的脉冲、细分数选择、励磁OFF、方向等信号经过前向通道后送给以CPLD为核心的逻辑控制电路,这里,信号经过判断处理,输出相应的细分控制数据至DA转换器,DA转换器根据电流设定值和补偿值,输出模拟的正弦波绕组电流参考信号,参考信号与电流反馈信号共同控制PWM 波形发生器。使之产生脉宽调制波PWM 波通过驱动电路后,加在步进电动机的绕组上控制其电流。从而驱动步进电动机运行。利用变频调速的原理,步进电机的速度与每步所用的时间有关。每步时间越长则频率越低,从而速度就越慢。因此,只要控制每步的延长时间,即控制

11、时钟频率,便可控制步进的速度。采用多模式积分分频电路即可获得PWM 信号。常用的三相反应式步进电动机采用单三拍ABCA;双三拍ABBCCAAB或单、双六拍AABBBCCCAA等运行方式(若反方向运转,则通电顺序只需将上述的箭头反向即可)。文中针对三相单、双六拍运行方式进行设计。由于单、双六拍的通电状态是确定的,所以对控制器逻辑关系描述采用了状态机方式,以使其各个工作状态间的相互关系更加清楚,一目了然。反应式步进电机是利用磁阻转矩使转子转动的,是我国目前使用最广泛的步进电机型式。其定子上有六个磁极并装有控制绕组,每相对的两极组成一相。当三相定子绕组轮流接通驱动脉冲时产生磁场并吸引转子转动。定子线

12、圈的通电顺序决定转子转动方向,而所加脉冲频率又决定了转子转速,即只要控制输入脉冲的数量、频率及各相绕组的通电顺序,便可获得所要求的转角、转速和转向。根据三相脉冲加入的相序及方式可将其工作状态分为三相三拍和三相六拍两种。三相六拍正转 三相六拍反转三相六拍驱动模式真值表这次所设计的控制器实现了变频信号源和脉冲分配器的作用它支持单、双六拍通电方式;正、反方向运行;能对外部时钟信号进行分频,使进步电机的调速范围非常宽广,无需频繁中断,且占用CPU的时间很少。步进电机内合成磁场的幅值及两相邻合成磁场夹角决定了步进电机旋转力矩的大小及步距角的大小。通过对步进电机励磁绕组中电流加以控制,使步进电机内部的合成

13、磁场形成幅值不变、均匀的圆形旋转磁场,便可实现步进电机恒力矩及步距角均匀细分控制。因此,要实现步进电机恒力矩细分控制必须通过合理控制步进电机内各项绕组中的励磁电流。对三相反应式步进电机实现均匀细分比较简便可行的方法是采用如图所示波形的绕组电流。 三相反应式步进电机绕组电流波形细分驱动电路主要由细分、恒流控制、功率放大三部分组成。目前一般的做法多采用单片机和专用PWM驱动芯片、DAC等构成,系统构成较为复杂。步进电机的功率放大器的控制信号需要良好的实时性与并行、同步性能,而采用CPLD可以很好地解决此类问题。将细分与恒流控制电路集成在一片芯片上,可大大提高集成度、简化步进电机细分驱动电路。系统原

14、理结构框图 步进电机控制器的端口功能图细分模块由远控信号解码编码功能模块、细分状态控制器、细分表三部分组成。下图为细分模块构成原理简图。细分模块原理图图中,PULSE/DIR为脉冲/方向信号,CW/CCW 为正向/反向控制信号,UP/DOWN为正向/反向控制信号IPA,P BIPC为三相绕组电流的节拍计数,DA,DB,Dc为三相绕组输出电流设定值,AVHSTB,B VHSTB,C VHSTB为高压输出控制信号,RST为复位反馈信号,/IFB为反馈信号。1远控信号编码该功能模块可支持PULSE/DIR(脉冲/方向)模式与CW/CCW(正向/反向)模式两种脉冲输人信号。两种脉冲输出方式如表1所示。

15、在模块内部逻辑中采用UP/DOWN模式,即CW/CCW模式。脉冲/方向信号波形表2.细分状态控制器及细分表对于实现 m细分的三相反应式步进电机,一个电角度周期可细分为6m 节拍。根据UP/DOWN信号增减节拍位置,若A相的节拍位置为PA,则根据B相滞后A相,C相超前A相,可计算出B的节拍位置PB,C相节拍位置PC。计算出A相各节拍参考电流参量存入细分表中,DA,DB,DC分别为节拍位置PA、PB,PC所对应的电流参量。AVHSTB,BVHSTB,CVHSTB为高压驱动回路驱动选通信号。电机电枢驱动电路采用高低压法,其基本思路是,不论工作频率如何,在绕组电枢驱动电路采用高低压法,不论工作频率如何,在绕组通电的开始用高压供电,使绕组中的电流迅速上升,而后用低压来维持绕组中的电流。这样每次换相对于刚开始充电的绕组,该相的高压驱动选通信号有效,维持很短的一段时间后使该信号无效,由该相的低压回路选通信号控制电枢回路电流。3.Bang-Bang恒流控制器电流跟踪控制的思路比较简单,即当前周期的控制信号总是要使当前电流趋向参考电流。如果当前周期的参考电流与采样电流的偏差小于零,则立即关断相应相主开关,反之,则立即开通相应相主开关,故又叫Bang一Bang控制。电流跟踪控制与常用的电流滞环斩波控制是相同的,只是前者只有一个参考电流值,并在一定程

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文 > 毕业论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号