ncverilog教程

上传人:小** 文档编号:93289530 上传时间:2019-07-19 格式:PPT 页数:32 大小:855.50KB
返回 下载 相关 举报
ncverilog教程_第1页
第1页 / 共32页
ncverilog教程_第2页
第2页 / 共32页
ncverilog教程_第3页
第3页 / 共32页
ncverilog教程_第4页
第4页 / 共32页
ncverilog教程_第5页
第5页 / 共32页
点击查看更多>>
资源描述

《ncverilog教程》由会员分享,可在线阅读,更多相关《ncverilog教程(32页珍藏版)》请在金锄头文库上搜索。

1、NC-Verilog,NC-Verilog概述,Cadence的仿真工具NC-Verilog simulator 在NC-Launch上进行设计仿真 在SimVision分析环境下对设计中的问题进行调试,NC-Verilog概述,在NC-Launch(用于管理大型设计的图形交互接口)上进行设计的仿真。NCLaunch帮助你配置和启动编译器,描述器和仿真器。,NC-Verilog概述,在SimVision分析环境下进行对设计中的问题的调试。 SimVision是一个candence 仿真器统一的图形化的调试环境。SimVision可以用于调试用verilog,vhdl,SystemC或者它们混合

2、写成的数字,仿真,或数模混合电路的设计。,两种模式运行SimVision,Simulate模式 在Simulate模式下你可以实时的看到仿真的数据。也就是说,你可以在仿真的过程中就进行数据的分析。你可以通过对设计设置断点和分步来达到控制仿真的。 控制台窗口 Console Window 源浏览器 Source Browser 设计浏览器 Design Browser 循环阅读器 Cycle Viewer 原理图追踪 Schematic Tracer 信号流浏览器 Signal Flow Browser 波形窗口 Waveform Window 寄存器窗口 Register Window,两种模

3、式运行SimVision,Post-processing environment (PPE)模式 在PPE模式下,对仿真结果数据的分析是在仿真过程结束了后进行的。除了仿真器之外,你能访问所有的SimVision的工具 。要在PPE模式下运行,你必须先对设计进行仿真,然后把仿真的资料存到一个文件中,你可以随时从simulation模式切换到PPE模式,但是不能在PPE模式切换到simulation模式中去。,准备工作介绍,在仿真已有的设计以前,必须编译和描述它。编译过程将把源文件中的用HDL编写的单元编译成内在的描述。描述设计将在设计的实例化,结构化信息的基础上建立设计的层次结构,建立信号的连接

4、,计算所有对象的初始值。编译,描述和仿真自己的设计要用到以下的工具: Ncvlog: 编译Verilog源文件。 ncelab 描述设计并且生成仿真的snapshot。 ncsim 对snapshot进行仿真仿真。,准备工作介绍,本例将演示如何在多步实现(multi-step)的模式下使用NCLaunch。 文件路径,启动NClaunch,在拷贝了源文件的文件夹下面启动 nclaunch -new & 多步模式(Multiple Step)使用ncvlog和ncelab命令来编译和描述你的设计;单步模式(Single Step)使用ncverilog命令。选择多步模式。,选择多步模式 Mult

5、iple step,选择creat cds.lib file,弹出第二个对 话框,save,在新对话框中点击ok, 之后在最初的对话框中点击Ok。,nclaunch的主窗口: 左边的窗口中显示了 当前目录下的所有文 件,在编译和描述后 会在右边显示设计的 库。,在在仿真你的设计以前,必须用编译器编译源文件,并且用描述器(elaborator)把设计描述成snapshot的形式。 NCLaunch的主窗口让你可以连接你编译和描述设计所需要的工具,VHDL 编译器(compiler),Verilog 编译器(compiler),NC浏览(NCBrowse),描述器(Elaboretor),仿真/仿

6、真器(Simulator),波形察看窗口(Waveform Window),选中2个.v文件点击,进行编译,之后会 在右边窗口中看到 帽子图标(工作库) 下面产生2个文件。,现在要描述你的设计: 要展开库(worklib), 选择顶层单元(也就是 测试中的module), 然后选择描述按钮 (elabrate ),但是在这之前要设置 参数,选中module后 选择toolsElaborator 进行设置。,设置参数时注意将Access Visibility按 钮选中并且它的值是All,这个选项意味 着全部存取(读,写,连接探测)来仿 真目标,这样就可以在仿真的数据库里 面探测目标和范围,调试你

7、的设计。 由于不是所有的代码都加了时间,为防 止报错在此处加上时间。 然后点击ok即可。,在上述步骤之后,会生成一个你的设计对应的snapshot,仿真 就是针对这个Snapshot进行的。如图示,选中该文件,点击 仿真按钮,之后就启动了simulator,会弹出2个窗口 : 设计浏览器(Design Browser)和 控制窗口(Console window),设计浏览器(Design Browser),在启动的时候,控制窗口(Console Window)有两个窗口。 SimVison窗口让你可以输入SimVison的命令; 仿真器(simulator)窗口让你可以输入Tcl simula

8、tor命令。 在你运行仿真的时候,控制窗口(console window)同样会在SimVision 和simulation窗口中显示消息。,控制窗口(Console Window),SimVision让你控制和查询你的 设计仿真。SimVision中你可以 存储个别目标或者范围的仿真 数据,这可以使得仿真数据尽 量的小。 因此需要设置探测类型: 在设计窗口中,点击 test_drink _machine 图示旁边的加号,展开设计的 层次结构。选择顶层模块 选择菜单Simulation- Create Probe 本例中是对所有的模表和范围进 行探测,因此是从顶层开始的。,针对这个探测: 选择

9、Include sub-scopes下拉菜 单中的all选项以包含所有设计的 子域。 选择Include within each scope 下拉菜单中的all选项以包含输入 ,输出 和端口。 取消选中 Add to waveform display。,在控制窗口(console window)中选择SimulationRun。 SimVision就会仿真,然后把仿真的结果数据存在默认的数据 库里面。,执行操作之后会 显示,以波形的形式研究仿真的资料,在u_drink_machine模块中选择要显示在波形窗口中的信号。选中后点击waveform按钮 这样就可以打开波形窗口了。,有波形显示的波形

10、显示窗口,当观察一个波形的时候,有时候把信号的值显示成ASCII码串很有用。 比如说,当state的值是1的时候,用户投入了5美分; 当state的值是2的时候,用户投入了10美分。把state的波形显示成5和10比显示成1和2更容易理解。 要把信号定义信号值为ASCII串,需要定义一个mnemonic map : 在波形窗口中选中该信号,然后选择WindowsToolsMnemonic Maps打开Mnemonic Maps的属性设置窗口,点击新建map按钮 新建一个 mnemonic map,定义mnemonic map的第 一个入口 : 改变默认的进制,点击h 不放然后选择d 。 双击Values Matching 区域,输入0, 然后按Tab, 进入Relabel As 区域。 在其中键入idle,再按tab 键继续修改 。,按照上图所示进行修改即可,完成后点击表示确认,选择FileClose Window关闭选项窗口,如果对源代码进行了修改,则要在重新生成一次snapshot, 然后在Console Window 点击simulationreinvoke simulator 出现图示对话框:,选择yes即可,此时波形窗口如图所示。 再在Console Window 点击simulationrun即可,谢谢!,

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 商业/管理/HR > 管理学资料

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号