modelsim 10仿真教程

上传人:小** 文档编号:93281539 上传时间:2019-07-19 格式:PDF 页数:8 大小:522.29KB
返回 下载 相关 举报
modelsim 10仿真教程_第1页
第1页 / 共8页
modelsim 10仿真教程_第2页
第2页 / 共8页
modelsim 10仿真教程_第3页
第3页 / 共8页
modelsim 10仿真教程_第4页
第4页 / 共8页
modelsim 10仿真教程_第5页
第5页 / 共8页
点击查看更多>>
资源描述

《modelsim 10仿真教程》由会员分享,可在线阅读,更多相关《modelsim 10仿真教程(8页珍藏版)》请在金锄头文库上搜索。

1、Modelsim 仿真教程仿真教程 一、前期准备前期准备 1、 正确安装好 modelsim 和 quartus 软件。我用的平台的版本是 Modelsim SE 10.1 和 Quartus II 10.1。 2、在 ModelSim 中加入 Quartus 提供的仿真库 在 ModelSim 中进行仿真需要加入 Quartus 提供的仿真库,原因是下面三个方面: Quartus 不支持 Testbench; 调用了 megafunction 或者 lpm 库之类的 Altera 的函数; 时序仿真要在 Modelsim 下做仿真。 下面以 Altera 器件为例,介绍如何在 ModelSi

2、m 中加入 Altera 的仿真库,Quartus II 软件中自带有 Altera 的仿真库,只要把它拿到 ModelSim 中去编译一下就可以了,具体 步骤如下: (1).设置仿真库路径 打开 ModelSim 安装目录(我用的是 ModelSim SE 10.1版本,安装在 C:modeltech_10.1 目录下),新建文件夹 altera,我们就在该目录下存放预编译的各种 Altera 库。 启动 ModelSim SE ,在主窗口执行【File】/【Change Directory】命令将路径转到 altera 文件夹。 (2).新建库 Quartus II 中提供的仿真库文件存放

3、的路径是 altera10.1sp1quartusedasim_lib, 每个 库文件提供了两种形式:.v(Verilog)格式和.vhd(VHDL)格式两种,根据你所用的语言选择使 用。用于编译资源库的文件有220model.v,220model.vhd,220pack.vhd,altera_mf.v, altera_mf.vhd,altera_mf_components.vhd,altera_primitives.v,altera_primitives.vhd, altera_primitives_components.vhd 文件。网上的很多教程都是把这些文件一起编译,这样适 用于 Ve

4、rilog 和 VHDL 混合仿真,但如果只用一种语言,如 Verilog 则完全没必要全部编译。 下面以该目录下的 altera_mf.v 为例介绍建立预编译库的方法。 注:ModelSim 中仿真库可以分为两大类:第一类是工作库(working),默认值为“work” 目录,work 目录中包含当前工程下所有被编译的设计单元,编译前必须建立一个 work 库, 并且每个编译有且仅有一个 work 库;第二类是资源库(resource),存储能被当前编译引用的 设计单元,在编译期间允许有多个 resource 库,这节所讲的都是添加 altera 资源库。 在主窗口中选择【File】/【Ne

5、w】/【Library】命令,新建一个名为 altera_mf 的库。 (3).编译库 方便起见在 altera 文件夹下新建目录 src, 把用于编译资源库的文件复制到 src 文件夹中。 在主菜单中选【Compile】/【Compile】命令,弹出 Compile Source Files 对话框,library 中选择你刚才建立的库名 altera_mf,查找范围你选择 altera_mf.v 文件,刚才你已经把它复 制到了.alterasrc 目录下。执行编译命令。 继续按照步骤2和3中介绍的方法添加剩下的几个库。添加完成后如下图所示。 1、 (4).配置 modelsim.ini 文

6、件 这一步是为了将前面建立的库添加进系统库,以后就不用再重复添加了。 ModelSim 安装根目录下的配置文件 modelsim.ini 的只读属性去掉,用记事本或其他文 本编辑程序打开。 在Library下修改前面添加的库的路径。 注意修改后关闭并改回只读属性。 注:第1步设置的仿真库路径必须在 ModelSim 的安装目录下才能能够用此相对路径。 altera_primitives = $MODEL_TECH/altera/altera_primitives 220model = $MODEL_TECH/altera/220model altera_mf = $MODEL_TECH/alt

7、era/altera_mf 2、如果有需要,读者可以按此方法建其他资源库。这个所谓的前期准备不是必须的前期准 备, 大家可以在做具体的仿真工程中再建, 只不此方法是是为了把这些新建的库添加到系统 库中,这样就不用再在具体仿真的时候重复添加。 二、二、功能仿真实例功能仿真实例 3、新建一个文件夹用于存放仿真工程。 4、执行【File】/【Change Directory】命令将工作路径转到你新建的文件夹下。 5、新建一个工程。 【File】/【new】/【project】 ,输入工程名。 按 OK 后弹出如下对话框,如果你有编写好的.V 文件可以在此添加,或者直接新建文件。 4、再这里我选择新建

8、一个文件 counter.v 和一个测试文件 counter_tb.v 代码如下: 5、选择文件,右键/compile/compile all . 6、开始仿真。菜单栏选择【simulate】/【start simulation】,在 star simulation 选项卡中的 Design 选项中选择测试文件 counter_tb,点击【optimization options】在【visibility】中做如 下选择: 在 libraries 选项中添加需要的仿真库 点击 OK 开始仿真。然后添加波形。 执行【simulate】/【run】/【run 100】仿真一百个时间单位。或者点击工具栏中的 run 按钮。仿真结果如下:

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 商业/管理/HR > 管理学资料

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号