EDA课程设计-4路抢答器(1)

上传人:206****923 文档编号:91846891 上传时间:2019-07-02 格式:DOCX 页数:7 大小:74.59KB
返回 下载 相关 举报
EDA课程设计-4路抢答器(1)_第1页
第1页 / 共7页
EDA课程设计-4路抢答器(1)_第2页
第2页 / 共7页
EDA课程设计-4路抢答器(1)_第3页
第3页 / 共7页
EDA课程设计-4路抢答器(1)_第4页
第4页 / 共7页
EDA课程设计-4路抢答器(1)_第5页
第5页 / 共7页
点击查看更多>>
资源描述

《EDA课程设计-4路抢答器(1)》由会员分享,可在线阅读,更多相关《EDA课程设计-4路抢答器(1)(7页珍藏版)》请在金锄头文库上搜索。

1、系 别:电子工程系专 业:电子信息工程技术班 级:电信092班 姓 名:xxxxxxxx 合 作 者:xxxxxxx 学 号:xxxxxxxxxxxx 指导老师:xxxxx 日 期:2011年6月11日评分标准:1、考勤(10分).( )分;2、纪律(10分).( )分;3、完成设计所用的时间(20分).( )分;4、课设答辩(50分).( )分;5、课设报告(10分).( )分; 总分.( )分。 目录1、 设计题目.(第3页)2、 设计目的.(第3页)3、 设计任务和要求.(第3页)4、 设计思路.(第3页)5、 设计内容.(第3页)6、 实验设备.(第4页)7、 程序清单.(第4页)8、

2、 仿真图和锁引脚图.(第5页)9、 设计心得.(第6页)10、参考资料.(第7页)一、设计题目:四路抢答器二、设计目的:EDA课程设计是继模拟电子技术基础、数字电子技术基础、电子技术基础实验课程后,电气类、自控类和电子类等专业学生在电子技术实验技能方面综合性质的实验训练课程,是电子技术基础的一个部分,其目的是通过一周的时间,让学生掌握EDA的基本方法,熟悉一种EDA软件(Quarrus II 6.0),并能利用EDA软件设计一个电子技术综合问题,并在实验板上成功下载,为以后进行工程实际问题的研究打下设计基础。三、设计任务和要求:通过课程设计使学生能熟练掌握一种EDA软件的使用方法,能熟练的进行

3、设计输入、编译、管脚分配、下载等过程。使用此软件至少设计出一个电子技术综合问题设计,设计输入可采用图形输入法和VHDL硬件描述语言输入法。本设计任务是设计一个四人抢答器,并要求当有某一参赛者首先按下抢答开关时,相应显示数码管亮并且蜂鸣器响,此时抢答器不再接受其他输入信号。并且还要有置位、复位、锁存、计数器和七段数码管显示,设计报告需要附上仿真图和锁引脚图。四、设计思路:对于一个四人抢答器,四个选手在电路中的起始控制作用是一样的,当裁判员宣布开始抢答时,谁先按下他前面的控制开关,相应的数码管就显示他所在的台号,而且这是其他人再怎么按,信号也不会输入,说明每个人对其他人都有一个先发制人的作用,及每

4、个人都在时间控制下,能锁存住其他选手的功能。可以设四个人分别为输入端a1、a2、a3、a4;因为四个输入端在VHDL中,要求四个输入端应有相等的优先级别,但只要有一个输入端接入高电平时,就给其他信号一个反馈,使得他们的输入信号无效,及数码管不显示他们对应的台号;所以我们也可以并行语句,让他们设为两个进程(PROCEES),同时也要求进程中有反馈信号语句,这样就可以完成一个简单的四人抢答器。五、设计内容:1、设计一个可以容纳四组参赛的数字式抢答器,每组设一个按钮供抢答使用;2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用;3、设置一个主持人复位按钮;4、主持人复位后,开始抢答

5、,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,扬声器发出声音。根据上面的分析可知,我们只要用两个进程语句就可以处理好四位选手的相互限制的问题,再将数码管用相与来控制计数器的置零端RESET。代码中a1、a2、a3、a4分别代表四位参赛选手,RESET是主持人的控制开关,CLK是计数器的时钟信号,S1是蜂鸣器接口,f是蜂鸣器的控制开关,LED7S是显示台号的数码管。六、实验设备:计算机、EDA软件(Quartus II 6.0)、下载试验箱。七、程序清单:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY qdq IS PORT ( a1,a

6、2,a3,a4,rest,clk : IN STD_LOGIC; s1 : OUT STD_LOGIC; LED7S: OUT STD_LOGIC_VECTOR(6 DOWNTO 0) );end;ARCHITECTURE one OF qdq IS SIGNAL d: STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL f : STD_LOGIC;BEGINp1:PROCESS(a1,a2,a3,a4,rest,clk ) BEGIN if(rest=1)then f=0; d=0000; elsif rising_edge(clk)then if (a1=1)or(d

7、(3)=1)and not(d(0)=1 )or( d(1)=1) or (d(2)=1) then d(3)=1; end if; if (a2=1)or(d(2)=1)and not(d(0)=1) or (d(1)=1 )or (d(3)=1) then d(2)=1; end if; if (a3=1)or(d(1)=1)and not(d(0)=1) or (d(2)=1)or (d(3)=1) then d(1)=1; end if; if (a4=1)or(d(0)=1)and not(d(1)=1) or (d(2)=1) or( d(2)=1)then d(0)=1; end

8、 if; f=a1 or a2 or a3 or a4; end if ;end process;s1 LED7S LED7S LED7S LED7S LED7S NULL ; END CASE ;end process;end one;八、仿真图和锁引脚图:仿真图锁引脚图九、设计心得:通过此次课程设计,总体来说,收获颇丰,无论是在培养自己的实验动手能力还是培养自己的性情方面。在此次的四路抢答器设计过程中,更进一步地熟悉了芯片的结构及掌握了各芯片的工作原理和其具体的使用方法。在连接数码管引脚的接法中,要求熟悉逻辑电路及其芯片各引脚的功能,那么在电路出错时便能准确地找出错误所在并及时纠正了。在设

9、计电路中,往往是先仿真后连接实物图,但有时候仿真和电路连接并不是完全一致的,因此仿真图和电路连接图还是有一定区别的,所以在连接线路是就要求非常认真,要清楚了解各个连接点之间的关系,这样才能在实际焊接过程中得心应手,取得事半功倍的效果。在设计电路的连接图中出错的主要原因都是接线和芯片的接触不良以及接线的焊点所引起的。在输入程序过程中,组员间配合的非常好,进度相当快,虽然在其中几个部分中遇到几点非常困难的地方,但是还是被我们解决了,这就是团队的力量。在开始的设计和最后的调试过程是漫长的,有时我们为了一个问题要找很长时间,我们既要在计算机前收集资料,又要在实验室验证,这个过程非常辛苦,但是也非常快乐。最后我们通过一个星期的艰苦奋斗,终于完成了四路抢答器,并调试成功,为此我们感到无比的自豪。但是我们不能骄傲自大,还需继续努力争取做得最好、最棒,成为学校的骄傲。十、参考资料:1 康华光主编.电子技术基础-数字部分(第五版).高等教育出版社,2006 2 数字电子技术实验指导书 3 杨素行主编.模拟电子技术简明教程 (第三版). 高等教育出版社,2005 4 谢自美主编.电子线路设计、实验、测试.华中理工大学出版社,2000 5 吕思忠主编.数子电路实验与课程设计.哈尔滨工业大学出版

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 中学教育 > 其它中学文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号