杭电计组实验3多功能ALU设计实验

上传人:206****923 文档编号:91753330 上传时间:2019-07-01 格式:DOC 页数:6 大小:75.52KB
返回 下载 相关 举报
杭电计组实验3多功能ALU设计实验_第1页
第1页 / 共6页
杭电计组实验3多功能ALU设计实验_第2页
第2页 / 共6页
杭电计组实验3多功能ALU设计实验_第3页
第3页 / 共6页
杭电计组实验3多功能ALU设计实验_第4页
第4页 / 共6页
杭电计组实验3多功能ALU设计实验_第5页
第5页 / 共6页
点击查看更多>>
资源描述

《杭电计组实验3多功能ALU设计实验》由会员分享,可在线阅读,更多相关《杭电计组实验3多功能ALU设计实验(6页珍藏版)》请在金锄头文库上搜索。

1、杭州电子科技大学计算机学院实验报告实验项目: 课程名称:计算机组成原理与系统结构课程设计姓名: 学号: 同组姓名: 学号: 实验位置(机号): 实验日期: 指导教师: 实验内容(算法、程序、步骤和方法)1、 实验目的(1)学习多功能ALU的工作原理,掌握运算器的设计方法。(2)掌握运用Verilog HDL进行数据流描述与建模的技巧和方法,掌握运算器的设计方法。二、实验仪器 ISE工具软件三、步骤、方法(1)启动Xilinx ISE软件,选择File-New Project,输入工程名shiyan2,默认选择后,点击Next按钮,确认工程信息后点击Finish按钮,创建一个完整的工程。(2)在

2、工程管理区的任意位置右击,选择New Source命令。弹出New Source Wizard对话框,选择Verilog Module,并输入Verilog文件名shiyan3,点击Next按钮进入下一步,点击Finish完成创建。(3)编辑程序源代码,然后编译,综合;选择Synthesize-XST项中的Check Syntax右击选择Run命令,并查看RTL视图;如果编译出错,则需要修改程序代码,直至正确。(4)在工程管理区将View类型设置成Simulation,在任意位置右击,选择New Source命令,选择Verilog Test Fixture选项,输入实验名shiyan3_te

3、st。点击Next,点击Finish,完成。编写激励代码,观察仿真波形,如果验证逻辑有误,则修改代码,重新编译,仿真,直至正确。(5)由于实验三并未链接实验板,所以后面的链接实验板的步骤此处没有。操作过程及结果一,操作过程实验过程和描述:module shiyan3(ALU_OP,AB_SW,OF,ZF,F);reg 31:0A,B;input 2:0ALU_OP;input 2:0AB_SW; wire OF; reg ZF;reg 31:0F;output OF;output ZF;output F;reg C32;always(*)begincase(AB_SW)3b000: begin

4、 A=32h0000_0000; B=32h0000_0000; end3b001: begin A=32h0000_0003; B=32h0000_0607; end3b010: begin A=32h8000_0000; B=32h8000_0000; end3b011: begin A=32h7FFF_FFFF; B=32h7FFF_FFFF; end3b100: begin A=32hFFFF_FFFF; B=32hFFFF_FFFF; end3b101: begin A=32h8000_0000; B=32hFFFF_FFFF; end3b110: begin A=32hFFFF_F

5、FFF; B=32h8000_0000; end3b111: begin A=32h1234_5678; B=32h3333_2222; enddefault: begin A=32h9ABC_DEF0; B=32h1111_2222; endendcaseendalways(*)begincase(ALU_OP)3b000:F=A&B;3b001:F=A|B;3b010:F=AB;3b011:F=AB;3b100:C32,F=A+B;3b101:C32,F=A-B;3b110:begin if(AB) F=32h0000_0001; else F=32h0000_0000; end3b111

6、:begin F=BA; enddefault: F=32h0000_0000;endcaseendalways(*)beginif(F=32h0000_0000)ZF=1;elseZF=0;endassign OF=C32F31A31B31;endmodule仿真代码module shiyan3_test;/ Inputsreg 2:0 ALU_OP;reg 2:0 AB_SW;/ Outputswire OF;wire ZF;wire 31:0 F;/ Instantiate the Unit Under Test (UUT)shiyan3 uut (.ALU_OP(ALU_OP), .A

7、B_SW(AB_SW), .OF(OF), .ZF(ZF), .F(F);initial begin/ Initialize InputsALU_OP = 0;AB_SW = 0;/ Wait 100 ns for global reset to finish#100; / Add stimulus here#100;ALU_OP = 001;AB_SW = 001;#100;ALU_OP = 010;AB_SW = 010;#100;ALU_OP = 011;AB_SW = 011;#100;ALU_OP = 100;AB_SW = 100;#100;ALU_OP = 101;AB_SW =

8、 101;#100;ALU_OP = 110;AB_SW = 110;#100;ALU_OP = 111;AB_SW = 111;end endmoduleRTL图二、结果思考题:(2)经过分析,该ALU不能实现MIPS核心指令集的所有指令。它还需要:带进位的加法运算、带进位的减法运算、位清除指令、负数比较、位测试指令、比较指令、相等测试指令等。(3)通过本实验,无法得出:slt操作中的“AB”是对有符号数的比较还是无符号数的比较,这个结论。若A=32b0,B=32bFFFF_FFFF,则所得到的答案是F=0000_0001.这个问题应该再设置一个变量SIGctr信号,控制ALU是执行“带符号

9、整数比较小于置于1”还是“无符号数比较小于置1”功能,当SIGctr=0,则执行“无符号数比较小于置1”,当SIGctr=1,则执行“带符号整数比较小于置1”。 (6)在做这个实验的时候,我遇到的问题是,F的输出是决定着输出,当F全零时,ZF输出1,;否则ZF输出0。解决方法:运用if.else.。根据F的假设值,对ZF进行赋值运算。以及八种运算的表示方法不太熟悉进行了查阅实验指导书。 在这个多功能ALU设计实验中,这个实验做的时候忘记了不需要连实验板,老师验收的时候说不需要连接实验板,所以临时修改了代码,取消了LED的设置。后来不知道怎么回事,怎么看都没有错误,但是就是运行出错。于是就剪切了代码,重新生成了文件,粘贴后再次运行时结果出其医疗的成功了。总结一下估计是之前哪里的东西被我删掉了才导致了错误。又再次设置了ZF与F以及OF的输出。最后验证了仿真波形,实验成功。 通过做这个多功能ALU设计实验,我对数据流的描述方式有了一个全新的理解。也对ISE这个软件的运用更加熟练了,对多功能ALU这个概念也有了更深层次的理解,增长了我的知识,强化了我的实践能力以及思考能力。这次实验收获很大。指导教师评议实验步骤写的时候,最好自己按照所做步骤写,二人不要一模一样。成绩: 指导教师签名:

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 中学教育 > 其它中学文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号