用vhdl在quartusII实现与或非

上传人:平*** 文档编号:9165654 上传时间:2017-10-01 格式:DOC 页数:5 大小:85.27KB
返回 下载 相关 举报
用vhdl在quartusII实现与或非_第1页
第1页 / 共5页
用vhdl在quartusII实现与或非_第2页
第2页 / 共5页
用vhdl在quartusII实现与或非_第3页
第3页 / 共5页
用vhdl在quartusII实现与或非_第4页
第4页 / 共5页
用vhdl在quartusII实现与或非_第5页
第5页 / 共5页
亲,该文档总共5页,全部预览完了,如果喜欢就下载吧!
资源描述

《用vhdl在quartusII实现与或非》由会员分享,可在线阅读,更多相关《用vhdl在quartusII实现与或非(5页珍藏版)》请在金锄头文库上搜索。

与门Library ieee;Use ieee.std_logic_1164.all;Entity an isPort(d1,d2 : in std_logic;op : out std_logic);End an;Architecture m1 of an isBeginop= 1 when(d1= 1 and d2= 1)else 0;End m1;或门Library ieee;Use ieee.std_logic_1164.all;Entity oor isPort(d1,d2 : in std_logic;op : out std_logic);End oor;Architecture m1 of oor isBeginop=0 when(d1=0 and d2=0)else1;End m1;非门Library ieee;Use ieee.std_logic_1164.all;Entity nt isPort(d1: in std_logic; op : out std_logic);End nt;Architecture m1 of nt isBeginop=0when(d1=1 )else1;End m1;

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 其它办公文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号