数字电子技术基础教学作者赵莹CH410课件

上传人:E**** 文档编号:90939533 上传时间:2019-06-20 格式:PPT 页数:3 大小:138.50KB
返回 下载 相关 举报
数字电子技术基础教学作者赵莹CH410课件_第1页
第1页 / 共3页
数字电子技术基础教学作者赵莹CH410课件_第2页
第2页 / 共3页
数字电子技术基础教学作者赵莹CH410课件_第3页
第3页 / 共3页
亲,该文档总共3页,全部预览完了,如果喜欢就下载吧!
资源描述

《数字电子技术基础教学作者赵莹CH410课件》由会员分享,可在线阅读,更多相关《数字电子技术基础教学作者赵莹CH410课件(3页珍藏版)》请在金锄头文库上搜索。

1、4.10用VHDL描述组合逻辑电路,18线-3线编码器VHDL描述,LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY encoder1 IS PORT ( d: IN STD_LOGIC_VECTOR ( 7 DOWNTO 0 ); encode: OUT STD_LOGIC_VECTOR ( 2 DOWNTO 0 ); END encoder1; ARCHITECTURE one OF encoder1 IS BEGIN encode = ”111” WHEN d(7)=1 else ”110” WHEN d(6)=1 else ”101”

2、WHEN d(5)=1 else ”100” WHEN d(4)=1 else ”011” WHEN d(3)=1 else ”010” WHEN d(2)=1 else ”001” WHEN d(1)=1 else ”000” WHEN d(0)=1 ; END one;,23线-8线译码器VHDL描述,LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY decoder1 IS PORT ( A: IN STD_LOGIC_VECTOR ( 2 DOWNTO 0 ); S: IN STD_LOGIC; Y: OUT STD_LOGIC_VECT

3、OR ( 7 DOWNTO 0 ); END decoder1; ARCHITECTURE behave4 OF decoder1 IS SIGNAL SA: STD_LOGIC_VECTOR ( 3 DOWNTO 0 ); BEGIN SA = S&A; WITH SA SELECT Y = “11111110” WHEN “0000”; “11111101” WHEN “0001”; “11111011” WHEN “0010”; “11110111” WHEN “0011”; “11101111” WHEN “0100”; “11011111” WHEN “0101”; “1011111

4、1” WHEN “0110”; “01111111” WHEN “0111”; “11111111” WHEN OTHERS; END behave4;,34选1数据选择器的VHDL描述,LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY mux41 IS PORT ( a,b,c,d: IN STD_LOGIC; s: IN STD_LOGIC_VECTOR ( 1 DOWNTO 0 ); y: OUT STD_LOGIC); END mux41; ARCHITECTURE one OF mux41 IS BEGIN PROCESS(s,a,b,c,d) BEGIN CASE s IS WHEN ”00”=yyyyy=x; END CASE END PROCESS END one;,

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 高等教育 > 大学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号