电梯控制器的VHDL程序设计与仿真

上传人:206****923 文档编号:90465059 上传时间:2019-06-12 格式:DOC 页数:62 大小:2.41MB
返回 下载 相关 举报
电梯控制器的VHDL程序设计与仿真_第1页
第1页 / 共62页
电梯控制器的VHDL程序设计与仿真_第2页
第2页 / 共62页
电梯控制器的VHDL程序设计与仿真_第3页
第3页 / 共62页
电梯控制器的VHDL程序设计与仿真_第4页
第4页 / 共62页
电梯控制器的VHDL程序设计与仿真_第5页
第5页 / 共62页
点击查看更多>>
资源描述

《电梯控制器的VHDL程序设计与仿真》由会员分享,可在线阅读,更多相关《电梯控制器的VHDL程序设计与仿真(62页珍藏版)》请在金锄头文库上搜索。

1、论文题目:电梯控制器的VHDL程序设计与仿真专 业:微电子学本 科 生:陈伟伟 (签名)_指导教师:岳改丽 (签名)_摘 要本设计(改为论文)主要介绍了基于FPGA设计的五层电梯控制器,采用VHDL语言进行编译,并进行了电路综合和仿真。该控制器具备了FPGA芯片的硬件体积小、实时性好、工作效率高、提高系统的集成度等特点且遵循方向优先的原则,提供五个楼层多个用户的载客服务,并指示电梯的运行情况。本设计的亮点是使用状态机的方法来完成电梯的几个运行状态。(改,)将主控制器的状态分为6种,停止、等待、上升、连续上升、下降及连续下降,状态的划分与楼层无关(增加,)因此具有很强的通用性。本课题试验调试平台

2、是Altera公司的Quartus 软件和EDA实验开发系统。(改,)通过程序的调试和(改、)运行及仿真表明本设计可以完成电梯所在楼层显示和电梯运行方向指示。关键字:FPGA,VHDL,电梯控制器,状态机大红色要删去,下同Subject: The study and simulation of elevator controller based on VHDLSpecialty: MicroeletronicsName: Weiwei Chen (Signature) _Instructor: G aili Yue (Signature) _ABSTRCTThis design introdu

3、ces the five floors elevator controller compiled with VHDL and the synthesis and simulation of the circuit. The controller follows the direction of the principle of priority, to provide the service of multiple users, and indicates the operation of the lift. This controller has FPGA chip compact hard

4、ware, good real-time, high efficiency, improve the system integration characteristics .Using state machine is a strong point of this design. The main controller is divided into six states. The state has nothing to do with floors, so it has a strong common use. The debugging platform of this topic is

5、 Altera Quartus software and EDA experiment development system. Through the process of debugging、running and the simulation shows that this design can finish the function of the elevator direction and floors display.KEY WORDS: FPGA, VHDL, Elevator Controller, State Machine目 录1绪论11.1 选题的背景11.2 电梯控制器的

6、研究背景11.3 我国电梯的发展状况11.4 电梯设计的具体目的及控制要求.22设计基础依据(改为工具介绍)42.1EDA技术介绍42.2FPGA简介62.2.1EDA实验开发平台72.2.2 EP2C5核心板(字号?)72.3硬件描述语言82.3.1VHDL和VerilogHDL比较82.3.2VHDL语言的基本特点82.3.3 VHDL的设计流程92.3.4 VHDL程序的基本结构92.3.5 状态机的简介102.4 QuartusII软件介绍113电梯控制器的设计及仿真143.1 电梯及电梯控制器的功能简介143.1.1 电梯的机械组成143.1.2电梯控制器的工作原理153.2 电梯控

7、制器的程序设计153.2.1 电梯的总体控制思想163.2.2 电梯控制器的程序流程图173.2.3模块设计与实现183.3程序调试与下载283.3.1 程序调试283.3.2从设计文件到目标器件的下载293.3.3 加载及显示结果29结论33致谢错误!未定义书签。页码?参考文献错误!未定义书签。页码?附录I后要写名称,下同36附录II55附录III56附录IV57. - 58 -1绪论1.1选题的背景随高层楼宇的增加,电梯越来越多的走进了人们的生活,对人们的生活的影响越来越大。为了使电梯更好的服务人们,各种电梯新技术快速地发展起来。随着人们生活水平的不断提高,经济的快速发展及生产生活的需要,

8、城市高层建筑如雨后春笋拔地而起。与此相应,作为一种可以垂直升降运输的工具一电梯也得到迅猛的发展。现在,电梯已完全融入我们的生活、工作及学习当中,人们越来越离不开它。因此,它的安全可靠性、迅速准确性、舒适性,对人们来说都是非常重要的。为了确保电梯正常运行、安全使用,一般电梯都有专业的维修管理人员。他们必须对电梯原理、性能、特点、控制、运行都要全面认识和掌握,才能做到对电梯的正确使用、管理及维护。同时,对电梯操作人员定期考核,让他们定期参加安全技术学习,扎扎实实地做好电梯维护和保养工作,才能使人们平安长久安心地使用电梯。1.2电梯控制的研究背景电梯控制系统是一个尤其复杂的逻辑控制系统系统同时要对几

9、百个信号进行接收、处理。由于用户对电梯功能的要求越来越高其相应的控制方式也在不断发生变化。随着EDA技术的快速发展基于FPGA的微机化控制已经广泛应用于电梯电路设计及控制的各个方面。1.3 我国电梯的发展概况电梯控制是属于机电一体化研究领域,它涉及到多个方面,包括:机械工程、电子技术、电力电子技术、电机与拖动理论、自动控制理论、电力拖动自动控制系统、微机技术和土建工程等多个科学领域。100多年来,我国电梯行业的发展经历以下几个阶段:对进口电梯的销售、安装、维护阶段(1900-1949年),这一阶段我国电梯拥有数量仅约1100多台;独立自主、艰苦研制、生产阶段(1950-1979年),这一阶段我

10、国共生产安装电梯约1万台;建立三资企业,行业快速发展阶段(自1980年至今),这一阶段我国共生产安装电梯约40万台。目前,我国已经成为世界最大的新装电梯市场和最大的电梯生产国。并且还有着巨大市场和发展潜力空间。2002年,中国电梯行业电梯年产量首次突破6万台。中国电梯行业自改革开放以来第三次发展浪潮正在掀起。第一次出现在1986-1988年,当时适逢我国高层建筑业的大规模浪潮的第一次兴起。第二次出现在1995-1997年。应该说,随着我国经济的增长,电梯市场一直保持着旺盛的需求。其次表现在企业的规模效益逐步展现。随着我国经济平稳持续的发展,尤其是住宅产业作为国民经济新增长点的提出,为电梯业的发

11、展提供了良好的机遇。今后几年,我国将年建住宅3. 5亿平方米,公建项目1.2亿平方米。随着城市向大型化、高层化的发展,我国每年将需要电梯在40000台以上。如此大的市场需求,将是电梯业再创辉煌的最好契机。1.4 电梯设计的具体目的及控制要求使用VHDL来完成5层电梯控制系统设计。可以使用状态机实现。要求指示电梯所在楼层位置及电梯运行方向。通过仿真结果验证其正确性,并在FPGA开发板上进行硬件测试。系统的要求如下:(1)电梯共有5层。电梯运行规则:上升时:只响应比当前位置高的上楼要求,由近及远的原则一次响应知道响应完最后一个请求;如果楼层有下楼请求,直接升到由此请求的最高层,然后进入下降模式。进

12、入下降模式后,只响应比当前位置低的下楼请求,由上到下逐个执行。电梯有5层,每1秒上升或者下降1层。(2)点阵模块指示电梯的运行方向,数码管显示电梯到达层数。(3)有信号灯指示该层电梯门状态,每层有两个按键分别响应上升或下降的请求(4)电梯到达有请求的楼层后,电梯门打开,指示灯亮,5秒后电梯门关闭,指示灯灭,直至执行完。最后停在发出最后一个请求的楼层。 (5)电梯的初始位置为一层,处于开门状态。设计思路电梯控制器通过乘客在电梯内外的请求信号控制电梯的运行,上升或下降。用户在电梯中选择所要到达的楼层,通过电梯主控制器的处理,电梯开始运行,状态显示器显示电梯的运行状态,电梯当前位置通过数码管显示。各

13、模块的设计方法1)控制模块 此模块是设计的核心,电梯控制器的请求信号分为上升请求和下降请求,电梯收到请求信号后,都必须做预操作。具体来说就是当电梯所在楼层低于发出电梯外部请求的楼层或者低于楼内请求所要达到的目的楼层时,电梯必需在下一操作中上升,这时的请求信号就是上升信号。反之,则是下降信号。当电梯在一楼时,不管电梯内部还是外部,电梯只能收到上升请求信号,此时电梯进入预上升状态,准备上升,如果没有收到上升请求,则电梯待在一楼。当电梯在二、三、四楼时,如电梯外部内部均没有收到任何请求,电梯将在当前楼层楼待机;如电梯接收到上升请求信号,则进入预上升状态;如电梯接收到下降请求信号,电梯进入预下降状态。

14、当电梯在五楼时,电梯只能接收到下降请求信号,此时电梯进入预下降状态,准备下降,没有收到请求信号则在五楼待机。 2)数码管显示模块用来显示当前所在楼层。 3)点阵模块用箭头向上或向下显示当前电梯运行方向。 4)按键模块用来表示电梯内的按键。5)用电机的转动来模拟电梯的运行过程。这部分应在第三章写本书分为4章。第1章对本设计进行了概述,对电梯控制器的研究背景、发展状况、研究目的和设计的要求进行了简单描述;第2章主要介绍了电梯控制器的设计依据,分别对EDA、FPGA、VHDL和Quartus工具进行了简单的介绍;第3章主要介绍电梯控制器的程序设计及仿真。对电梯控制器的各个模块分别进行介绍,着重讲述了

15、电梯主控制器的原理及设计思路。2 设计基础依据2 .1 EDA技术介绍 EDA概述用三级目录描述即2.1.1EDA在通信行业(电信)里的另一个解释是企业数据架构,EDA给出了一个企业级的数据架构的总体视图,并按照电信企业的特征,进行了框架和层级的划分。EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪60年代中期从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。1什么是EDA20世纪90年代,在国际上电子和计算机技术较先进的国家,一直积致力于极积探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的改革,而且取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用已经得到了广泛的普及,这些器件为数字系统的设

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 中学教育 > 其它中学文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号