微电子学概论第六章节集成电路设计的EDA系统幻灯片

上传人:E**** 文档编号:89963555 上传时间:2019-06-04 格式:PPT 页数:99 大小:2.75MB
返回 下载 相关 举报
微电子学概论第六章节集成电路设计的EDA系统幻灯片_第1页
第1页 / 共99页
微电子学概论第六章节集成电路设计的EDA系统幻灯片_第2页
第2页 / 共99页
微电子学概论第六章节集成电路设计的EDA系统幻灯片_第3页
第3页 / 共99页
微电子学概论第六章节集成电路设计的EDA系统幻灯片_第4页
第4页 / 共99页
微电子学概论第六章节集成电路设计的EDA系统幻灯片_第5页
第5页 / 共99页
点击查看更多>>
资源描述

《微电子学概论第六章节集成电路设计的EDA系统幻灯片》由会员分享,可在线阅读,更多相关《微电子学概论第六章节集成电路设计的EDA系统幻灯片(99页珍藏版)》请在金锄头文库上搜索。

1、集成电路设计的EDA系统,Behavior,Specification,Register-transfer,Logic,Circuit,Layout,集成电路设计结构,集成电路设计流程,OUTLINE,IC EDA系统概述 高层级描述与模拟VHDL及模拟 综合 逻辑模拟 电路模拟 时序分析和混合模拟 版图设计的EDA工具 器件模拟 工艺模拟 计算机辅助测试(CAT)技术,IC EDA系统概述,EDA(Electronic Design Automation) 电子设计自动化;Computer Aided Design,CAD EDA的目的 运用计算机辅助设计,建立起完整的电子系统设计、分析、模

2、拟、仿真、综合等手段,以使整个电子系统的设计能够在计算机平台上自动运行。,IC EDA系统的作用,什么是模拟? 对于设计输入抽象出模型,施加外部激励,观察输出,进行判断,IC EDA系统的发展,整个设计过程就是把高层次的抽象描述逐级向下进行综合、验证、实现,直到物理级的低层次描述,即掩膜版图。 各设计阶段相互联系,例如,寄存器传输级描述是逻辑综合的输入,逻辑综合的输出又可以是逻辑模拟和自动版图设计的输入,版图设计的结果则是版图验证的输入。 ICEDA系统介入了包括系统功能设计、逻辑和电路设计以及版图设计等在内的集成电路设计的各个环节,IC设计中的EDA系统,OUTLINE,IC EDA系统概述

3、 高层级描述与模拟VHDL及模拟 综合 逻辑模拟 电路模拟 时序分析和混合模拟 版图设计的EDA工具 器件模拟 工艺模拟 计算机辅助测试(CAT)技术,硬件描述语言 Hardware Description Language, HDL,HDL出现的背景 复杂电子系统难以用逻辑图、电路图和逻辑表达式等描述,希望有更加简练、使用top-down设计方法的描述,因此出现了多种HDL语言。 通常指高层设计阶段描述硬件,HDL语言的特点 抽象地对电子实体进行精确、简练的行为描述和结构描述 可在不同层次上,形成用于模拟和验证的设计描述 多层次混合描述 既可被模拟,又可被综合,出现多种HDL语言,为便于信息

4、交换和维护,出现工业标准,几种主要的硬件描述语言,VHDL 美国国防部开发。在不同的抽象程度上描述各种不同层次的电子系统。支持行为级、RTL级、门级设计。即可作为硬件动作的描述,又可作为功能模拟或逻辑综合的输入。 Verilog 作为逻辑模拟软件的输入语言而开发的。描述能力没有VHDL强,但结构化语言,程序库丰富。应用广泛。,系统功能设计的EDA技术,系统描述与模拟:VHDL语言及模拟,基本概念: 描述硬件电路,可以抽象地表示电路的行为和结构(完成什么功能,怎样组成) 作用: 对IC设计,支持从系统级到门和器件级的电路描述,并具有在不同设计层次上的模拟验证机制 可作为综合软件的输入语言,支持电

5、路描述由高层向低层的转换 建模机制、模拟算法、模拟环境,VHDL建模机制,基本结构 行为描述 结构描述,VHDL语言的建模机制 基本结构,硬件单元在VHDL中用设计实体描述。 实体外观 实体说明:实体命名,实体与外部环境的接口描述,未涉及其内部行为及结构 实体功能 在结构体中实现(如何组成,实现什么功能) 结构体:实体的输入-输出关系,实体的结构和行为描述,对应一个实体说明可以有多个结构体,不同的实现方案,功能描述(结构体): 行为描述:设计者集中在抽象行为的设计,暂不考虑设计的结构细节。 结构描述:描述设计的结构:元件及其连接。 数据流描述 混合描述,VHDL建模机制,基本结构 行为描述 结

6、构描述,VHDL语言的建模机制 行为描述,电子实体中的行为:反映信号的变化、组合和传播 行为的特点是信号的延迟和并行性。 VHDL中描述行为的基本单位是进程,由进程语句描述。process,进程并行:每个进程仅在满足一定条件的某个时刻被激活,同一时刻可以有多个进程被激活 对于串行机,模拟时钟在每个时刻停下,直到每个时刻被激活进程全被处理完,信号:各进程之间的通信,数据通路。 信号的状态可能影响与信号相关的进程的状态。 进程内部,信号用变量表示。,延迟描述:反映时序,建立精确的电路硬件模型 什么是延迟? 传输延迟 惯性延迟:输入信号在指定延迟时间内保持不变,元件的输出端才有响应。,进程为行为的基

7、本单元 信号作为系统进程之间的数据通路 各进程并行执行,VHDL建模机制,基本结构 行为描述 结构描述,VHDL语言的建模机制 结构描述,若干部件用信号线互连形成一个实体。 部件的实现:对某元件的调用或配置(例元),一个结构体由若干例元互连而成,例化:与实际信号关联,与实体和结构体关联,结构描述中的信号:连接例元,值传递 例元的输出值变化会影响以此信号为输入的其他例元 元件例化语句可以并行,元件配置,FOR : USE ENTITY . (结构名) 标号例元所引用的元件对应于某指定库的某实体和某结构体 灵活应用,用户:语言输入,模拟器模拟,基本模拟过程示意图,OUTLINE,IC EDA系统概

8、述 高层级描述与模拟VHDL及模拟 综合 逻辑模拟 电路模拟 时序分析和混合模拟 版图设计的EDA工具 器件模拟 工艺模拟 计算机辅助测试(CAT)技术,综合,概念:从设计的高层次向低层次转换的过程,是一种自动设计的过程;一种专家系统,分类(根据设计层次): 高级综合(算法级综合):从算法级到寄存器传输级 逻辑综合(RTL级综合、行为综合):从寄存器传输级到逻辑级 物理综合:逻辑图或电路图到版图,严格说应该是同级驱动,高级综合,将设计的算法级描述转换为RTL级描述,高级综合,核心:分配(ALLOCATION)和调度(SCHEDULING) 分配:给定性能、面积/功耗条件下,确定硬件资源:执行单

9、元、存储器、控制器、总线等,产生数据通道 调度:确定这些结构的操作次序 根据控制流图和调度中产生的状态信息,利用传统的RTL/逻辑综合技术综合出控制器部分 目标:找到代价最小的硬件结构,使性能最佳,综合中的优化问题:资源共享、连接优化、时钟分配等 优化目标:面积、速度、功耗、可测试性,行为模拟验证,数据通道和控制部分(RTL级网表),逻辑图 模拟验证,输入的行为描述编译(VHDL,Verilog),中间数据结构,数据流综合子系统、控制流综合子系统,模拟验证,工艺相关的结构,RTL两级工艺映射,逻辑图自动生成,综合过程,高级综合,逻辑综合,通过高级综合,已知工艺无关的RTL结构描述、目标工艺及一

10、组设计约束,在满足设计约束条件下,在物理域上实现同一层次的结构描述,实现与工艺相关的RTL结构描述。(不丢结构信息,增加工艺数据),工艺映射,RTL两级映射:高级综合和逻辑综合之间的桥梁,逻辑设计的EDA技术,逻辑综合,概念:由给定的逻辑功能和性能要求,在一个包含许多结构、功能、性能已知的逻辑元件的逻辑单元库支持下,确定出由一定逻辑单元组成的逻辑结构 输入:逻辑设计描述;输出:逻辑网表或逻辑图,OUTLINE,IC EDA系统概述 高层级描述与模拟VHDL及模拟 综合 逻辑模拟 电路模拟 时序分析和混合模拟 版图设计的EDA工具 器件模拟 工艺模拟 计算机辅助测试(CAT)技术,逻辑模拟,逻辑

11、模拟,逻辑模拟的主要作用:验证逻辑功能和时序的正确性 基本概念:将逻辑设计输入到计算机,用软件方法形成硬件的模型,给定输入波形,利用模型算出各节点和输出端的波形,判断是否正确 逻辑模拟的基本概念: 将逻辑设计输入到计算机,用软件方法形成硬件的模型 给定输入信号波形,利用模型算出各节点和输出端的波形,判断是否正确,几个概念 什么是逻辑功能?输入和输出之间的逻辑关系,不考虑与时间的关系。 什么是时序?考虑与时间的关系,输入和输出之间与时间有关系 组合逻辑和时序逻辑 组合逻辑:输出只决定于同一时刻各输入状态的组合,与以前状态无关 特点:输入与输出间无反馈途径;电路中无记忆单元 时序逻辑:输出与输入状

12、态有关,还与系统原先状态有关 特点:输入与输出间有反馈途径;电路中有记忆单元,逻辑模拟(续),设计输入方法:逻辑综合的结果;原理图输入;逻辑描述语言 主要作用: 信号模拟:验证逻辑功能的正确性,真值表(first-step) 延迟模拟:时序的正确性,预先检查是否有尖峰、竞争冒险现象(second step) 竞争冒险:从门的输入到输出存在延迟,不同门的延迟不同,不同通路上的延迟不同,引起电路出现错误的输出 举例:两个路径在不同时刻到达:竞争; 输出的干扰脉冲:冒险 主要环节:逻辑模拟模型、设计输入、模拟算法,逻辑模拟模型,元件的延迟模型 信号模型 逻辑模拟信号中的逻辑值和信号强度。,什么是延迟

13、?,目的:检查时序关系、反映竞争和冒险等现象; 调用的门单元中已含有不同延迟模型信息 零延迟:检查逻辑关系正确性,组合逻辑和同步时序 单位延迟:逻辑关系正确性 指定延迟:不同元件或不同的元件类型指定不同的延迟;指定上升、下降时间;尖峰分析 最大-最小延迟:分析竞争 惯性延迟:可抑制尖峰 考虑连线延迟:加到门延迟中;门之间加入延迟元件等,逻辑模拟模型:元件的延迟模型,不同要求的逻辑模拟调用不同的延迟信息 快速模拟:验证逻辑功能 单位延迟 指定延迟 最大或最小延迟 详细模拟:检查竞争冒险等情况 双延迟模型(最大延迟和最小延迟),信号模型:逻辑模拟中信号的逻辑值和信号强度 信号值:实际电路,逻辑状态

14、是0和1 在逻辑模拟中为了反映信号状态的过渡过程,模拟出竞争冒险,引入新的状态值 三值模拟 0,1,(不定态:记忆元件等未指定的初始态、不可预测的振荡态、无关态等) 真值表 检测静态冒险 (静态0冒险和1冒险) 不能检测动态冒险,逻辑模拟模型:信号模型,逻辑模拟模型:信号模型(续),四值模拟 0,1, ,Z(高阻态:信号与其源断开后的状态,如单向开关) 真值表 五值模拟、八值模拟等,但逻辑状态过多,模拟速度变慢,逻辑模拟模型:信号模型(续),信号强度:反映信号驱动能力,高强度信号占优势。 用来处理线连(线或)逻辑关系:多个元件输出信号线直接相连时,汇集点与信号的关系 如果强度相等信号值不同,线

15、连(线或)点强度不变,信号值未知。,逻辑模拟算法,编译方式和表格驱动方式 编译方式 将逻辑电路编译转换成一组指令代码。元件按功能编成子程序,按相互间连接关系以一定顺序将子程序连成总的可执行程序。 对元件的计算顺序编排 输入端为0级,元件的级数等于所有前级元件最大级数加1;同级元件可任意编排,不同级的元件从低到高进行计算 不考虑延迟,只能模拟组合逻辑电路和可忽略竞争冒险的同步时序电路,逻辑模拟算法(续),表格驱动方式 将逻辑电路转换成表格:电路描述表、元件类型表; 元件的扇入扇出表、信号线表 考虑延迟,可模拟异步时序 采用面向事件模拟:与VHDL模拟算法类似,信号驱动的是元件 对于较大规模的电路

16、: 高速逻辑模拟器:软件硬件化,并行处理,模拟速度提高1000倍,OUTLINE,IC EDA系统概述 高层级描述与模拟VHDL及模拟 综合 逻辑模拟 电路模拟 时序分析和混合模拟 版图设计的EDA工具 器件模拟 工艺模拟 计算机辅助测试(CAT)技术,电路模拟,电路设计:根据电路性能确定电路结构和元件参数,没有自动设计软件 设计人员根据电路性能要求,初步确定电路结构和元件参数,利用电路模拟软件进行模拟分析,判断修改。 电路模拟:根据电路的拓扑结构和元件参数将电路问题转换成适当的数学方程并求解,根据计算结果检验电路设计的正确性 模拟对象:晶体管、电阻、电容等元器件组成的电路。 优点:不需实际元件、可作各种模拟甚至破坏性模拟,电路模拟(续),在集成电路设计中起的作用: 版图设计前的电路设计,保证电路正确(包括电路结构和元件参数) 有单元库支持:单元事先经过电路模拟 无单元库支持的全定制设计:由底向上,首先对单元门电路进行电路设计、电路模拟,依此进行版图设计,直至整个电路 后仿真:考虑了寄生参数,由电路模拟预测电路性能 典型软件:

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 高等教育 > 大学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号